+ All Categories
Home > Documents > Key Semiconductor Equipment - AM Technical Solutions · PDF fileTEL P-8 Wafer Prober 88. TEL...

Key Semiconductor Equipment - AM Technical Solutions · PDF fileTEL P-8 Wafer Prober 88. TEL...

Date post: 06-Mar-2018
Category:
Upload: vobao
View: 288 times
Download: 7 times
Share this document with a friend
2
Website: www.amts.com Facebook: fb.com/AMTechnicalSolutions Twitter: @AMTechSol Phone: 1.888.729.1548 Key Semiconductor Equipment 1. Accretech/TSK UF3000 Wafer Prober 2. Advantest T5571P Memory Tester 3. AMAT Centura 5200 CVD 4. AMAT Centura 5200 Etcher 5. AMAT Centura 5200 RTP 6. AMAT Centura Advanced Gate Stack ALD 7. AMAT Centura Super-E Etcher 8. AMAT Centura Ultima CVD 9. AMAT Endura PVD 10. AMAT Mirra CMP 11. AMAT P-5000 Etcher 12. AMAT Producer CVD 13. AMAT Quantum Implanter 14. AMAT Verity CD-SEM 15. AMAT XR80 Ion Implanter 16. Axcellis GSD 200 Ion Implanter 17. Canon FPA-3000 Stepper 18. Canon FPA-5000 Stepper 19. Canon FPA-5500 Stepper 20. Canon FPA-6000 Scanner 21. Canon TBW-CD-83 Stepper 22. CFM 8100 Wet Bench 23. DNS SS-80BW-AR Wafer Scrubber 24. Eaton 8250 Ion Implanter 25. Ebara FREX200 CMP 26. EG (ElectroGlas) Prober 27. Fusion 200/Gemini Resist Cure 28. Gasonics PEP 3510 Asher 29. Hitachi S-8820 CD-SEM 30. Hitachi S-9200 CD-SEM 31. HP / Agilent 4071 Tester 32. KLA-Tencor AIT Patterned Wafer Inspection 33. KLA-Tencor HRP Profilometer 34. KLA-Tencor Prometrix Thin Film Measurement 35. KLA-Tencor SP1 / 2 Surfscan Unpatterned Wafer Inspection 36. Lam 9600 Etcher 37. Lam 2300 Exelan Etcher 38. Lam 2300 Versys Etcher 39. Lam 9400 Etcher 40. Lam Alliance Etcher 41. Lam Rainbow Etcher 42. Lam TCP Etcher 43. Leica INM 200 Mircroscope 44. Steag / AST / Mattson 2800 RTP 45. Mattson Aspen Asher 46. Microvision MVT 7080 Wafer Sorter 47. Muratec Murata Machinery CSS10 Stocker 48. Nikon NSR-2005 Stepper 49. Nikon NSR-2205 Stepper 50. Nikon NSR-4425 Stepper 51. Nikon NSR-S2 Stepper 52. Nikon NSR-S3 Scanner 53. Nikon NSR-S6 Scanner 54. Nikon NSR-SF Stepper 55. Nikon Optishot Microscope 56. Nikon Optistation Wafer Inspection 57. Nissin Electric Exceed Ion Implanter 58. Novellus Atlus CVD 59. Novellus Speed CVD 60. Novellus Sequel PECVD 61. Novellus Gamma Stripper 62. Novellus Inova PVD 63. Novellus Pep Iridia Asher 64. Novellus Vector PECVD 65. Ontrak DSS-200 Series II Wafer Scrubber 66. PRI Automation Turbo Stocker 67. Semitool Magnum Spray Cleaner 68. Semitool SAT Wafer Cleaner 69. SEZ 203 Spin Processor 70. Speedfam Auriga CMP 71. Speedfam IPEC 472 CMP 72. Steag AG AWP Wet Bench 73. SVG Thermco (Aviza) AVP-8000 Vertical Furnace 74. SVG Thermco VTR-7000 Vertical Furnace 75. Teradyne Catalyst Tester
Transcript
Page 1: Key Semiconductor Equipment - AM Technical Solutions · PDF fileTEL P-8 Wafer Prober 88. TEL TE-5000 Etcher 89. TEL TELiNDY Vertical Furnace 90. TEL Telius Etcher 91. TEL Trias CVD

 

 

Website:  www.amts.com  Facebook:  fb.com/AMTechnicalSolutions  

Twitter:  @AMTechSol      

Phone:  1.888.729.1548  

 Key  Semiconductor  Equipment  

1. Accretech/TSK UF3000 Wafer Prober 2. Advantest T5571P Memory Tester 3. AMAT Centura 5200 CVD 4. AMAT Centura 5200 Etcher 5. AMAT Centura 5200 RTP 6. AMAT Centura Advanced Gate Stack ALD 7. AMAT Centura Super-E Etcher 8. AMAT Centura Ultima CVD 9. AMAT Endura PVD 10. AMAT Mirra CMP 11. AMAT P-5000 Etcher 12. AMAT Producer CVD 13. AMAT Quantum Implanter 14. AMAT Verity CD-SEM 15. AMAT XR80 Ion Implanter 16. Axcellis GSD 200 Ion Implanter 17. Canon FPA-3000 Stepper 18. Canon FPA-5000 Stepper 19. Canon FPA-5500 Stepper 20. Canon FPA-6000 Scanner 21. Canon TBW-CD-83 Stepper 22. CFM 8100 Wet Bench 23. DNS SS-80BW-AR Wafer Scrubber 24. Eaton 8250 Ion Implanter 25. Ebara FREX200 CMP 26. EG (ElectroGlas) Prober 27. Fusion 200/Gemini Resist Cure 28. Gasonics PEP 3510 Asher 29. Hitachi S-8820 CD-SEM 30. Hitachi S-9200 CD-SEM 31. HP / Agilent 4071 Tester 32. KLA-Tencor AIT Patterned Wafer Inspection 33. KLA-Tencor HRP Profilometer 34. KLA-Tencor Prometrix Thin Film

Measurement 35. KLA-Tencor SP1 / 2 Surfscan Unpatterned

Wafer Inspection 36. Lam 9600 Etcher 37. Lam 2300 Exelan Etcher

38. Lam 2300 Versys Etcher 39. Lam 9400 Etcher 40. Lam Alliance Etcher 41. Lam Rainbow Etcher 42. Lam TCP Etcher 43. Leica INM 200 Mircroscope 44. Steag / AST / Mattson 2800 RTP 45. Mattson Aspen Asher 46. Microvision MVT 7080 Wafer Sorter 47. Muratec Murata Machinery CSS10 Stocker 48. Nikon NSR-2005 Stepper 49. Nikon NSR-2205 Stepper 50. Nikon NSR-4425 Stepper 51. Nikon NSR-S2 Stepper 52. Nikon NSR-S3 Scanner 53. Nikon NSR-S6 Scanner 54. Nikon NSR-SF Stepper 55. Nikon Optishot Microscope 56. Nikon Optistation Wafer Inspection 57. Nissin Electric Exceed Ion Implanter 58. Novellus Atlus CVD 59. Novellus Speed CVD 60. Novellus Sequel PECVD 61. Novellus Gamma Stripper 62. Novellus Inova PVD 63. Novellus Pep Iridia Asher 64. Novellus Vector PECVD 65. Ontrak DSS-200 Series II Wafer Scrubber 66. PRI Automation Turbo Stocker 67. Semitool Magnum Spray Cleaner 68. Semitool SAT Wafer Cleaner 69. SEZ 203 Spin Processor 70. Speedfam Auriga CMP 71. Speedfam IPEC 472 CMP 72. Steag AG AWP Wet Bench 73. SVG Thermco (Aviza) AVP-8000 Vertical

Furnace 74. SVG Thermco VTR-7000 Vertical Furnace 75. Teradyne Catalyst Tester

Page 2: Key Semiconductor Equipment - AM Technical Solutions · PDF fileTEL P-8 Wafer Prober 88. TEL TE-5000 Etcher 89. TEL TELiNDY Vertical Furnace 90. TEL Telius Etcher 91. TEL Trias CVD

 

 

76. Therma-Wave Opti-Probe Thin Film Measurement

77. TEL Alpha-303i Vertical Furnace 78. TEL Alpha-8 Vertical Furnace 79. TEL Clean Track Act 12 Double Block

Coater/Developer 80. TEL Clean Track Act 12 Single Block

Coater/Developer 81. TEL Clean Track Act 8 Double Block

Coater/Developer 82. TEL Clean Track Act 8 Single Block

Coater/Developer 83. TEL Clean Track Lithius Coater/Developer 84. TEL Clean Track Mark VII Coater/Developer 85. TEL Clean Track Mark VIII Coater &

Developer 86. TEL P-12 Wafer Prober

87. TEL P-8 Wafer Prober 88. TEL TE-5000 Etcher 89. TEL TELiNDY Vertical Furnace 90. TEL Telius Etcher 91. TEL Trias CVD 92. TEL Unity II Etcher 93. TEL Unity IIe Etcher 94. TEL Unity M Etcher 95. TEL Unity Me Etcher 96. TEL UW200Z Wet Bench 97. Ulvac Enviro Asher 98. Varian E220 Ion Implanter 99. Varion Viision 80 Ion Implanter 100. Varian Viista 80 Ion Implanter 101. Varian Viista 810HP Ion Implanter 102. Veeco Dimension Atomic Force Profiler

   

                       

                                         TEL  Act8                                                                                                                          Applied  Centura      

 Website:  www.amts.com  

Facebook:  fb.com/AMTechnicalSolutions  Twitter:  @AMTechSol  

   

Phone:  1.888.729.1548  


Recommended