+ All Categories
Home > Documents > Modeling and Analysis of Crosstalk for Distributed RLC...

Modeling and Analysis of Crosstalk for Distributed RLC...

Date post: 19-Apr-2018
Category:
Upload: hoangmien
View: 224 times
Download: 4 times
Share this document with a friend
5
Modeling and Analysis of Crosstalk for Distributed RLC Interconnects using Difference Model Approach J.V.R.Ravindra Center for VLSI and Embedded System Technologies (CVEST), International Institute of Information Technology, Gachibowli, Hyderabad 500 032, India [email protected] M.B.Srinivas Center for VLSI and Embedded System Technologies (CVEST), International Institute of Information Technology, Gachibowli, Hyderabad 500 032, India [email protected] ABSTRACT On-chip inductive effects are becoming predominant in deep submicron (DSM) interconnects due to increasing clock spee- ds, circuit complexity and decreasing interconnect lengths. Inductance causes noise in the signal waveforms, which can adversely affect the performance of the circuit and signal in- tegrity. The traditional analysis of crosstalk in a transmis- sion line begins with a lossless LC representation, yielding a wave equation governing the system response. This paper proposes a difference model approach to derive crosstalk in the transform domain. A closed form solution for crosstalk is obtained by incorporating initial conditions using difference model approach for distributed RLC interconnects. Simu- lation results show that the effect of inductive coupling for long interconnects is significant but is almost negligible for local interconnects. It is also shown that when inductance is neglected, the proposed model reduces to a lumped RC model. Also, the analytical model response agrees very well that obtained with SPICE. All the experiments have been carried out for 90nm technology node using Cadence’s Dy- namic Circuit Simulator SPECTRE c . Categories and Subject Descriptors B.7.1 [Types and Design Styles]: Very Large Scale Inte- gration(VLSI); B.7.2 [Design Aids]: Simulation General Terms Design Keywords Circuit, Distributed RLC, Interconnect, RC, RL, SPICE 1. INTRODUCTION Crosstalk is of considerable importance in high-speed dig- ital circuits. Many techniques such as wire sizing, gate siz- ing, and buffer insertion have been proposed to reduce the Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. SBCCI '07, September 3-6, 2007, Rio de Janeiro, Brazil Copyright 2007 ACM 978-1-59593-816-9/07/0009 ...$5.00. crosstalk [1-4] that are based on Elmore delay model [5]. Techniques for crosstalk and delay minimization under the lossy transmission line model have also been studied exten- sively in the literature [6-10]. Gao and Wong [6] applied continuous wire sizing to minimize the delay while Ismail and Friedman [7] computed a uniform buffer size and the number of buffers to optimize the delay of a circuit path. Roychowdhury et al. [8] proposed algorithms for transient response under lossy transmission lines. Gupta et al. [9] modeled the lossy transmission line using method of char- acteristics. Yu et al. used moments for modeling trans- mission line. Wang and Dai [11] adopted the S-parameter macro delay model to minimize the delay and skew based on finite difference approximation which requires expensive computation. In this paper, a new closed form expression for crosstalk is obtained by incorporating boundary conditions for RLC interconnects using difference model approach. The rest of the paper is organized as follows: Theory of crosstalk, glitching, even and odd modes of a transmission line are discussed in section 2. The difference model ap- proach of distributed RLC circuit is explained and also a closed form equation for delay is derived in section 3. Sim- ulation results are given in section 4, while conclusions are made in section 5. 2. THEORY 2.1 Crosstalk Crosstalk, which is the coupling of energy from one line to another, will occur whenever the electromagnetic fields from different structures interact. In multi-conductor systems, crosstalk can cause two detrimental effects: first, crosstalk will change the performance of the transmission lines in a bus by modifying the effective characteristic impedance and propagation velocity. Second, crosstalk will induce noise onto other lines, which may further degrade the signal in- tegrity and reduce noise margins. In VLSI circuits, crosstalk affects mutual inductance as well as inter-wire capacitance. When the connectors in high speed digital designs are considered, the mutual inductance plays a predominant role compared to the inter-wire capaci- tance. The effect of mutual inductance is significant in DSM technology since the spacing between two adjacent bus lines is very small. The mutual inductance induces a current from an aggressor line onto a victim line which causes crosstalk between connector lines. 207
Transcript

Modeling and Analysis of Crosstalk for Distributed RLCInterconnects using Difference Model Approach

J.V.R.RavindraCenter for VLSI and Embedded System

Technologies (CVEST),International Institute of Information Technology,

Gachibowli, Hyderabad 500 032, [email protected]

M.B.SrinivasCenter for VLSI and Embedded System

Technologies (CVEST),International Institute of Information Technology,

Gachibowli, Hyderabad 500 032, [email protected]

ABSTRACTOn-chip inductive effects are becoming predominant in deepsubmicron (DSM) interconnects due to increasing clock spee-ds, circuit complexity and decreasing interconnect lengths.Inductance causes noise in the signal waveforms, which canadversely affect the performance of the circuit and signal in-tegrity. The traditional analysis of crosstalk in a transmis-sion line begins with a lossless LC representation, yieldinga wave equation governing the system response. This paperproposes a difference model approach to derive crosstalk inthe transform domain. A closed form solution for crosstalk isobtained by incorporating initial conditions using differencemodel approach for distributed RLC interconnects. Simu-lation results show that the effect of inductive coupling forlong interconnects is significant but is almost negligible forlocal interconnects. It is also shown that when inductanceis neglected, the proposed model reduces to a lumped RCmodel. Also, the analytical model response agrees very wellthat obtained with SPICE. All the experiments have beencarried out for 90nm technology node using Cadence’s Dy-namic Circuit Simulator SPECTRE c©.

Categories and Subject DescriptorsB.7.1 [Types and Design Styles]: Very Large Scale Inte-gration(VLSI); B.7.2 [Design Aids]: Simulation

General TermsDesign

KeywordsCircuit, Distributed RLC, Interconnect, RC, RL, SPICE

1. INTRODUCTIONCrosstalk is of considerable importance in high-speed dig-

ital circuits. Many techniques such as wire sizing, gate siz-ing, and buffer insertion have been proposed to reduce the

Permission to make digital or hard copies of all or part of this work forpersonal or classroom use is granted without fee provided that copies arenot made or distributed for profit or commercial advantage and that copiesbear this notice and the full citation on the first page. To copy otherwise, torepublish, to post on servers or to redistribute to lists, requires prior specificpermission and/or a fee.SBCCI '07, September 3-6, 2007, Rio de Janeiro, BrazilCopyright 2007 ACM 978-1-59593-816-9/07/0009 ...$5.00.

crosstalk [1-4] that are based on Elmore delay model [5].Techniques for crosstalk and delay minimization under thelossy transmission line model have also been studied exten-sively in the literature [6-10]. Gao and Wong [6] appliedcontinuous wire sizing to minimize the delay while Ismailand Friedman [7] computed a uniform buffer size and thenumber of buffers to optimize the delay of a circuit path.Roychowdhury et al. [8] proposed algorithms for transientresponse under lossy transmission lines. Gupta et al. [9]modeled the lossy transmission line using method of char-acteristics. Yu et al. used moments for modeling trans-mission line. Wang and Dai [11] adopted the S-parametermacro delay model to minimize the delay and skew basedon finite difference approximation which requires expensivecomputation. In this paper, a new closed form expression forcrosstalk is obtained by incorporating boundary conditionsfor RLC interconnects using difference model approach.

The rest of the paper is organized as follows: Theory ofcrosstalk, glitching, even and odd modes of a transmissionline are discussed in section 2. The difference model ap-proach of distributed RLC circuit is explained and also aclosed form equation for delay is derived in section 3. Sim-ulation results are given in section 4, while conclusions aremade in section 5.

2. THEORY

2.1 CrosstalkCrosstalk, which is the coupling of energy from one line to

another, will occur whenever the electromagnetic fields fromdifferent structures interact. In multi-conductor systems,crosstalk can cause two detrimental effects: first, crosstalkwill change the performance of the transmission lines in abus by modifying the effective characteristic impedance andpropagation velocity. Second, crosstalk will induce noiseonto other lines, which may further degrade the signal in-tegrity and reduce noise margins.

In VLSI circuits, crosstalk affects mutual inductance aswell as inter-wire capacitance. When the connectors in highspeed digital designs are considered, the mutual inductanceplays a predominant role compared to the inter-wire capaci-tance. The effect of mutual inductance is significant in DSMtechnology since the spacing between two adjacent bus linesis very small. The mutual inductance induces a current froman aggressor line onto a victim line which causes crosstalkbetween connector lines.

207

2.2 GlitchingA glitch may be induced in connector ‘j’ in which the

signal is static, due to neighboring connector lines in whichthe signal is varying [12]. This is given by the eq.(1)

Vj

glitch =X

j

±Ljkdjk

dt∀j 6= k (1)

where Ljk represents mutual inductance between jth andkth connector. The sign of the coupled voltage is positiveor negative depending on whether the kth neighboring con-nector undergoes a rising or a falling transition. Moreover,the crosstalk noise in VLSI connectors is data-pattern de-pendent.

2.3 Odd ModeWhen two coupled transmission lines are driven with volt-

ages of equal magnitude and 180 out of phase with eachother, odd mode propagation occurs. The effective capac-itance of the transmission line will increase by twice themutual capacitance, and the equivalent inductance will de-crease by the mutual inductance. In Fig.1, a typical trans-mission line model is considered where the mutual induc-tance between aggressor and victim connector is representedas M12. L11 and L22 represent the self inductances of ag-gressor and victim nodes while CC , CS , and CL denote thecoupling capacitance between aggressor and victim, self ca-pacitance and load capacitance respectively.

Figure 1: A Typical 2 line Transmission line model

Referring to Fig.1, assuming that L11 = L22 = L0, thecurrents will be of equal magnitude but flow in oppositedirection [12]. Thus, the effective inductance due to odd-mode of propagation is given by Eq (2).

Lodd = L11 − L22 (2)

The magnetic field pattern of the two conductors in odd-

Figure 2: Magnetic Field in Odd Mode

mode is shown in Fig. 2.

2.4 Even ModeWhen two coupled transmission lines are driven with volt-

ages of equal magnitude and in phase with each other, even-mode propagation occurs. In this case, the effective capac-itance of the transmission line will decrease by the mutualcapacitance and the equivalent inductance will increase bythe mutual inductance. Referring to Fig. 1, assume thatL11 = L22 = L0. Thus, in even-mode propagation, thecurrents will be of equal magnitude and flow in the samedirection [12]. The effective inductance, due to even modeof propagation is then given by eq.(3).

Leven = L11 + L22 (3)

Figure 3: Magnetic Field in Even Mode

3. MODELING OF CROSSTALK IN RLC IN-TERCONNECT

3.1 Difference ModelThe frequency-domain difference approximation [13] pro-

cedure is more general, because it can directly handle lineswith arbitrary frequency-dependent parameters or lines char-acterized by data measured in frequency-domain. The time-domain difference approximation procedure should be em-ployed only if transient characteristics are available [13]. Fora single RLGC line, the analytical expressions are obtainedfor the transient characteristics and limiting values for allthe modules of the system and device models. The differ-ence approximation procedure is applied to both the char-acteristic admittances and propagation functions and theresulting time-domain device models have the same form asthe frequency-domain models.

The difference approximation procedure involves an ap-proximation of the dynamic part of the system transfer func-tion, given by eq. (12), with the complex rational series ordistorted part of the transient characteristic with the real ex-ponential series. This criterion results in simple and efficientapproximation algorithms, and requires a minimal numberof the original-function samples to be available, which is im-portant if the line is characterized with delay and crosstalk.

3.2 Analysis of Crosstalk using Difference ModelThe voltage (V) and current (I) equations are defined for

any typical distributed RLC circuit as

dV

dz= − (R + jωL) I (4)

dI

dz= − (G + jωC) V (5)

208

Where R, L, G, C are the resistance, inductance, con-ductance and capacitance per unit length respectively of aparallel transmission line.

Assuming conductance G is zero, and the transmissionline is along z-axis.

d2V

dz2= − (R + jωL)

dI

dz= (R + jωL) jωCV (6)

Obtaining the solution for above differential equation

V = Aeγz + Be

−γz (7)

Assuming V = VR, I = IR and V = Vs, I = Is at z=0 re-spectively (where VR are IR voltages and currents at receiv-ing and sending end respectively and z = −l ) and solvingeq. (7), we obtain

Vs = VR cosh γl + IRZ0 sinh γl (8)

Is = IR cosh γl +VR

Z0

sinh γl (9)

where l is the length of the transmission line. The aboveset of equations can also be represented in matrix form as

Vs

Is

«

=

cosh γl Z0sinhγl

cosh γl 1

Z0sinhγl

«„

VR

IR

«

(10)

Assuming input as a step function,Vs(s) = V0

s, where V0 is

the input amplitude, output response VR(s) can be obtainedas,

VR(s) =V0

s cosh“

p

sC (R + sL)” (11)

For low frequencies, where , R ωL ,the above equationreduces to

VR(s) =V0

s cosh (sRC)(12)

Applying the inverse Laplace transform, the time domainresponse may be obtained as

vR(t) = 2V0

"

1 − erf

r

RC

4t

!#

t RC (13)

vR(t) = V0

4√

RC

Π

h

1 − e−2.647t

RC

i

t RC (14)

For high frequencies, where, R ωL,the equation reducesto

VR(s) =V0

s cosh“

s√

LC” (15)

Applying the inverse Laplace transform, time domain re-sponse may be obtained as

vR(t) = V0

"

1 − cos

r

2

LC

!

t

#

(16)

4. SIMULATION RESULTSMost existing crosstalk models and reducing techniques

consider only capacitive coupling [2-6]. However, at highoperating frequencies, inductive-crosstalk effects can be sub-stantial and should be included for complete coupling-noiseanalysis. Figure 4 shows waveforms for capacitive-coupling,inductive-coupling and capacitive+inductive coupling noiseseparately. The waveforms show that inductive noise (volt-age) is comparable in magnitude to the noise (voltage) dueto capacitive coupling, and hence, neglecting inductance innoise analysis can be highly inaccurate.

Figure 4: Noise voltage waveforms for capacitive,inductive, and capacitive+inductive coupling for aTransmission line

Typical values of R, L, and C for different wire lengthsand for 90nm technology node have been extracted for long(10mm) and local (1mm) interconnects from Arizona’s StateUniversity Predictive Technology Model (PTM) [14] and aresummarized in Table 1. The extracted values of inductanceand capacitance are then used to calculate crosstalk noise.It is assumed that the input for all experiments is a pulsewaveform with 1.1V VPP and 2ns pulse width.

Table 1: Extracted Values of Long and Local Inter-connects for 90nm

Long (10 mm) Local (1 mm)

R=2444.44Ω R=488.9Ω

L=21.668nH L=1.779nH

Cs=326.356fF Cs=24.71fF

Cc=682.49fF Cc=68.32fF

4.1 Crosstalk in Local vs Long InterconnectsFigure 5 illustrates crosstalk due to long and local inter-

connects. It can be seen that while crosstalk is negligiblein short interconnects, it is significant in long interconnectsdue to inductive nature of the line.

209

Table 2: Voltage response of a distributed RLC/RC line under a step input excitation of magnitude V0

Method Accuracy Time Domain Voltage Response

Simple Lumped Method Approximate V0(1 − e−t

RC )

Brown’s 2-port Model [16] Approximate V0(1 − 1.172e−2.467 t

RC + 0.195e−22.206 t

RC − 0.023e−61.685 t

RC )

Sakurai’s Model [15] Approximate V0(1 − 1.273e−2.467 t

RC + 0.424e−22.206 t

RC )

Diffusion Model [17] Exact V0(1 − erf(q

RC4t

))

Proposed Model Exact V0

h

1 − cos(q

2

LC)ti

Figure 5: Crosstalk due to long and local intercon-nects

4.2 Crosstalk Calculations considering only R

and C - Comparison with Other ModelsThe crosstalk responses of various models [15-17] consid-

ering only R and C are shown in Fig 6. It can be seen thatthe crosstalk predicted by the proposed model is closer tothat of diffusion model than other models. The resistanceand capacitance values extracted are for 90nm technologynode. Note that the eq (16) is obtained from eq (12) byneglecting inductance(ωL R) for this analysis. The timedomain voltage responses for other models [15-17] is tabu-lated in Table. 2. Please observe that the other models aregiven only for RC models.

Figure 6: Unit Step response for lumped RC modeland other models

4.3 Crosstalk Calculations considering R, L

and C

To better understand the proposed analytical model, thefourth order RLC circuit shown in Fig. 1 is considered. Thevalues of R, L and C of this circuit are as given in Table1. It is observed from Fig. 7 that inductive effects will bedominant for long interconnects.

Figure 7: Effect of Inductive Coupling for LongWires

Experiment results have also been conducted for the rampinput. It is observed from the Fig 8. that the proposedmodel is also suitable for ramp input. The simulated crosstalkis plotted for a step and a ramp input shown in Fig. 8.

Figure 8: Comparison with Ramp input

210

4.4 Comparison with SPICEThe crosstalk model has also been tested for accuracy by

resorting to extensive SPICE simulations of RLC tree cir-cuits. Nearly 100 000 RLC tree networks have been gen-erated randomly and analyzed by applying step and rampinputs.

Simulations results are shown in Fig. 9 that the delay pre-dicted by the analytical model is very close to that obtainednumerically using SPICE with an error of about 2 %.

Figure 9: Effects of Inductance on Interconnect De-lay

5. CONCLUSIONSSignal crosstalk in a transmission line is traditionally ob-

tained for a lossless LC equivalent and a time domain repre-sentation of the system response. The solution is obtainedin the transform domain using 2-port parameters, typicallyABCD parameters. In this paper, the authors proposed adistributed RLC line model of interconnects using differ-ence model approach. A new analytic solution for crosstalkfor low and high frequencies is given. At low frequencies,the proposed model exhibits a RC behavior close to that ofdiffusion model but at high frequencies has a substantiallydifferent behavior due to the effects of inductance. The va-lidity of the model at high frequencies is demonstrated bythe close agreement of the crosstalk predicted by the modelwith that obtained numerically using SPICE.

6. REFERENCES[1] Chung-Ping Chen, Yao-Ping Chen, and D. F. Wong,

“Optimal Wire-Sizing Formula Under the ElmoreDelay Model”, Proceedings of 33rd Design automationconference, pp, June 1996.

[2] Chris Cho, and D.F.Wong, “Closed form Solution toSimultaneous Buffer Insertion/Sizing and WireSizing” ACM Transactions on Design Automation ofElectronic Systems, Vol. 6, No. 3, pp 343-371. July2001.

[3] D. Deschacht et al., “Theoretical Limits for SignalReflections Due to Inductance for On-ChipInterconnections” In Proceedings of ACM SystemLevel Interconnect Prediction (SLIP) 2000, pp 55-60,Feb 2000.

[4] Tai-Chen Chen, Song-Ra Pan, and Yao-Wen Chang,“Timing Modeling and Optimization under theTransmission Line Model” IEEE Transactions on VeryLarge Scale Integration (VLSI) Systems, VOL. 12,NO. 1, pp 28-41, January 2004.

[5] W. C. Elmore, “The transient response of dampedlinear networks with particular regard to wide bandamplifiers,” J. Applied Physics, vol. 19, no. 1, 1948.

[6] Y. Gao and D. F. Wong, “Shaping a VLSI wire tominimize delay using transmission line model,” inProc. Int. Conf. Computer-Aided Design (ICCAD),1998, pp. 611-616.

[7] Y. I. Ismail and E. G. Friedman, “Effects ofinductance on the propagation delay and repeaterinsertion in VLSI circuits,” IEEE Trans. VLSI Syst.,vol. 8, pp. 195-206, Apr. 2000.

[8] J. S. Roychowdhury, A. R. Newton, and D. O.Pederson, “Algorithms for the transient simulation oflossy interconnect,” IEEE Trans. Computer- AidedDesign, vol. 13, pp. 96-104, Jan. 1994.

[9] R. Gupta and L. Pileggi, “Modeling lossy transmissionlines using the method of characteristics,” IEEETransactions on Circuits and Systems I, vol. 43, pp.580-582, July 1996.

[10] Q. Yu and E. S. Kuh, “Exact moment matchingmodel of transmission lines and application tointerconnect delay estimation,” IEEE Transactions onVLSI Systems, vol. 3, pp. 311-322, June 1995.

[11] J. S. H. Wang and W. W. M. Dai, “Optimal design ofself-damped lossy transmission lines for multichipmodules,” in Proceedings of International ConferenceComputer- Aided Design (ICCAD), 1994, pp. 594-598.

[12] Clayton R.Paul, Keith W.Whites, Syed A. NasarReading “Introduction to Electromagnetic Fields”McGraw Hill 1998.

[13] D.B. Kuznetsov and J. E. Schutt-Aine, “Optimumtransient simulation of transmission lines,” IEEETransactions on Circuits and Systems I vol. 43, pp.110-121, Feb. 1996.

[14] Arizona State University Predictive Technology Model“http:// www. eas. asu.edu/ ptm/”

[15] T. Sakurai, “Approximation of Wiring Delay inMOSFET LSI”, IEEE Journal on Solid-State Circuits,Aug. 1983, pp. 418- 426.

[16] R. J. Antinone and G. W. Brown, “The Modeling ofResistive Interconnects for Integrated Circuits”, IEEEJ. Solid State Circuits 18, April. 1983, pp. 200-203.

[17] Andrew B. Kahng and Sudhakar Muddu “DelayAnalysis of VLSI Interconnections Using the DiffusionEquation Model”, 31st ACM/IEEE DesignAutomation Conference, June 1994, pp. 563-569.

211


Recommended