+ All Categories
Home > Technology > Placement in VLSI Design

Placement in VLSI Design

Date post: 06-May-2015
Category:
Upload: team-vlsi-itmu
View: 559 times
Download: 2 times
Share this document with a friend
Popular Tags:
27
PLACEMENT IN PHYSICAL DESIGN Pragya M.Tech -VLSI 13VLP008
Transcript
Page 1: Placement in VLSI Design

PLACEMENT IN PHYSICAL DESIGN

PragyaM.Tech -VLSI

13VLP008

Page 2: Placement in VLSI Design

AGENDA

Back end processWhat is placement and its typesPlacement problem formulationAlgorithmsSimulated based placement Partitioning based placement

Page 3: Placement in VLSI Design

Placement in physical design 3

BACK END PROCESS

Page 4: Placement in VLSI Design

1. PartitioningGoal: partition of a system into number of ASIC’s(application specific integrated chip)Objective: minimise the number of external connections between each ASIC. Keep each ASIC smaller than max size.

2. Floorplanning Goal: calculate the size of blocks and assign them locations.Objective: keep highly connected blocks physically close to each other.

3. PlacementGoal: assign the interconnect areas and the locations of all the logic cells within the flexible blockObjective: minimise the ASIC area and the interconnects

Page 5: Placement in VLSI Design

Placement in physical design 5

4. Global routing Goal: determine the location of all the interconnects Objective: minimise the total interconnect area.

5. Detailed routingGoal: completely route all the interconnects on the chipObjective: minimise the total interconnect length used.

Page 6: Placement in VLSI Design

Placement in physical design 6

PLACEMENT AND ITS TYPES

Page 7: Placement in VLSI Design

Placement is the problem of automatically assigning correct positions to predesigned cells on the chip with no overlapping such that some objective function is optimized.

Placement is design state after logic synthesis and before routing.

Page 8: Placement in VLSI Design

Types of placement 1. Standard cell placement –Standard cells have been designed in such a way that power and clock connections run horizontally through the cell and other I/O leaves the cell from the top or bottom sides.

Page 9: Placement in VLSI Design

2. Building block placement Cells to be placed have arbitrary shape.

Page 10: Placement in VLSI Design

Placement in physical design 10

Placement is done in three steps:

1. Global placement generate a rough placement that may violate some

placement constraints (e.g., there may be overlaps among modules)

2. Legalization makes the rough solution from global placement legal (no

placement constraint violation) by moving modules around locally.

3. Detailed placement further improves the legalized placement solution in an

iterative manner by rearranging a small group of modules in a local region while keeping all other modules fixed.

Page 11: Placement in VLSI Design

Placement in physical design 11

Out of the three steps important one is global placement

Approaches for global placement are :Partitioning based approach (min cut partitioning) Simulated annealing approach Analytical approach (best)

Page 12: Placement in VLSI Design

Placement in physical design 12

PLACEMENT PROBLEM FORMULATION

Page 13: Placement in VLSI Design

Placement in physical design 13

Input:

Placement region, a set of modules, and a set of nets. The

widths and heights of the placement region and all modules

are given. The locations of I/O pins on the placement region

and on all modules are fixed.

Output:

A set of location on the chip : one location for each cell.

Page 14: Placement in VLSI Design

Placement in physical design 14

•Objective:

minimize the ASIC area and the interconnects

•Goal:

Arrange all the logic cells within flexible blocks

The cells are placed to produce a routable chip that meets

timing and other constraints (e.g., low-power, noise, etc.)

•Challenge:

The number of cells in a design is very large (> 1 million).

Page 15: Placement in VLSI Design

Placement in physical design 15

The placement problems for common design styles are:

Standard cell placement Gate array/FPGA placementMacro block placementMixed size placement.all about placement.pdf

Page 16: Placement in VLSI Design

Global and Detailed PlacementIn global placement , the approximatelocations for cells is decidedby placing cells in global bins.

In detailed placement, cells are Placed without over lapping.

Page 17: Placement in VLSI Design

Placement in physical design 17

Good and bad placement

Good placement Bad placement

Minimize area (total wiring area)

Ensure routability Avoid signal interference Distribute heat Maximize performance

Consumes large areas Results in performance

degradation Results in difficult and

sometimes impossible tasks (Routing)

An ill-placed layout cannot be improved by high quality routing.

Page 18: Placement in VLSI Design

Placement in physical design 18

Page 19: Placement in VLSI Design

Placement in physical design 19

PLACEMENT ALGORITHMS

Page 20: Placement in VLSI Design

Optimisation of the following is done using placement algorithm

•Total area•Total wirelength•Heuristics are used in the algorithms.

Page 21: Placement in VLSI Design

Placement in physical design 21

Constructive

once the position of the cell is fixed , it can not be modified

Constructive algorithms are used to obtain aninitial placement.

Iterative

intermediate placements are modified in an attempt to improve the cost function.

The initial placement is followed by an iterative improvement phase.

Page 22: Placement in VLSI Design

Techniques for initial placement

A top-down method: min-cut partitioning and placement (bisect the circuit recursively)Min-Cut Placement method1. Cut placement area into two pieces2. Swap logic cells to minimize cut cost3.Repeat process from step 1, cutting smaller pieces until all logic cells are placed

Page 23: Placement in VLSI Design

Placement in physical design 23

Page 24: Placement in VLSI Design

A bottom-up method: cluster growth (selectcells with strongest connections one by one)

Page 25: Placement in VLSI Design

Simulated Annealing Placement

•Initial placement improved through swaps and moves•Accept a swap/move if it improves the cost

Page 26: Placement in VLSI Design

Pros and cons of SAPros:•Can Reach Globally Optimal Solution (given “enough” time)•Can Optimize Simultaneously all Aspects of Physical Design•Can be Used as a End Case Placement

Cons•Extremely slow process of reaching a good solution.

Page 27: Placement in VLSI Design

Placement in physical design 27

Thank you


Recommended