+ All Categories
Home > Documents > PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging...

PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging...

Date post: 13-Oct-2020
Category:
Upload: others
View: 7 times
Download: 1 times
Share this document with a friend
14
PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Novel EUV mask absorber evaluation in support of next-generation EUV imaging Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe Detavernier, Eric Hendrickx, et al. Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe Detavernier, Eric Hendrickx, Andreas Erdmann, Peter Evanschitzky, Robbert W. E. van de Kruijs, Zahra Heidarnia-Fathabad, Frank Scholze, Christian Laubis, "Novel EUV mask absorber evaluation in support of next-generation EUV imaging," Proc. SPIE 10810, Photomask Technology 2018, 108100C (10 October 2018); doi: 10.1117/12.2501799 Event: SPIE Photomask Technology + Extreme Ultraviolet Lithography, 2018, Monterey, California, United States Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Transcript
Page 1: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

PROCEEDINGS OF SPIE

SPIEDigitalLibrary.org/conference-proceedings-of-spie

Novel EUV mask absorber evaluationin support of next-generation EUVimaging

Vicky Philipsen, Kim Vu Luong, Karl Opsomer, ChristopheDetavernier, Eric Hendrickx, et al.

Vicky Philipsen, Kim Vu Luong, Karl Opsomer, Christophe Detavernier, EricHendrickx, Andreas Erdmann, Peter Evanschitzky, Robbert W. E. van deKruijs, Zahra Heidarnia-Fathabad, Frank Scholze, Christian Laubis, "NovelEUV mask absorber evaluation in support of next-generation EUV imaging,"Proc. SPIE 10810, Photomask Technology 2018, 108100C (10 October2018); doi: 10.1117/12.2501799

Event: SPIE Photomask Technology + Extreme Ultraviolet Lithography, 2018,Monterey, California, United States

Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 2: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Novel EUV mask absorber evaluation in support of next-generation EUV imaging

Vicky Philipsen1,*, Kim Vu Luong1,2, Karl Opsomer1, Christophe Detavernier3, Eric Hendrickx1,

Andreas Erdmann4, Peter Evanschitzky4, Robbert W.E. van de Kruijs5, Zahra Heidarnia-Fathabad5, Frank Scholze6, Christian Laubis6

1 imec, Kapeldreef 75, B-3001 Leuven, Belgium

2 KU Leuven, Department of Materials Engineering, Belgium 3 University of Ghent, Cocoon, Department of Solid State Sciences, Belgium

4 Fraunhofer IISB, Schottkystr. 10, 91058 Erlangen, Germany 5 University of Twente, PO Box 217, 7500 AE Enschede, The Netherlands

6 PTB, EUV Radiometrie, Abbestr. 2-12, 10587 Berlin, Germany

ABSTRACT

In next-generation EUV imaging for foundry N5 dimensions and beyond, inherent pitch- and orientation-dependent effects on wafer level will consume a significant part of the lithography budget using the current Ta-based mask. Mask absorber optimization can mitigate these so-called mask 3D effects. Thin metal absorbers like Ni and Co have been experimentally investigated due to their high EUV absorption, but they pose challenges on the current technology of subtractive mask patterning [1]. A simulation study of attenuated EUV phase shift masks has identified through multi-objective optimization superior imaging solutions for specific use cases and illumination conditions [2].

Evaluating novel EUV mask absorbers evolves on two levels, demonstrating (1) improvements from lithographic perspective and (2) compatibility with the full mask supply chain including material deposition, absorber patterning, scanner environment compatibility and mask lifetime. On the lithographic level, we have identified regions based on the material optical properties and their gain in imaging performance compared to the reference Ta-based absorber. Within each improvement region we engineered mask absorber materials to achieve both the required imaging capabilities, as well as the technical requirements for an EUV mask absorber. We discuss the material development of Te-based alloys and Ag-based layered structures, because of their high EUV extinction. For the attenuated phase shift materials, we start from a Ru-base material, due to its low refractive index, and construct Ru-alloys. On the experimental level, we examined our novel mask absorber materials against an initial mask absorber requirement list using an experimental test flow. Candidate materials are evaluated on film morphology and stability through thermal, hydrogen, EUV loading, and chemical cleaning, for their EUV optical constants by EUV reflectometry, as well as preliminary for selective dry etch.

The careful mask absorber evaluation, combining imaging simulations and experimental material tests, allowed us to narrow down to promising combinations for novel EUV mask absorbers. Keywords: EUV mask absorber, mask 3D effects, absorber characterization, rigorous mask 3D lithography simulation

1. INTRODUCTION Over the recent years the knowledge has grown and spread on mask-induced imaging effects, experimentally observed in EUV lithography for N5 dimensions and beyond, with the current EUV mask [1-9]. More precisely, the current Ta-based absorber is at its limit for imaging extendibility. Thinning down below 50nm Ta-based absorber thickness will reduce the amount of absorbed light, reduce the NILS and increase best focus variation through pitch [10,11]. Although the current Ta-based mask has proven benefits from mask technology point, the imaging performance towards next technology nodes can benefit from mask optimization. The industry needs to reconsider the EUV mask concept as a mitigation of mask-induced imaging effects (by balancing the diffraction for all features and pitches simultaneously).

Invited Paper

Photomask Technology 2018, edited by Emily E. Gallagher, Jed H. Rankin, Proc. of SPIE Vol. 10810, 108100C · © 2018 SPIE · CCC code: 0277-786X/18/$18 · doi: 10.1117/12.2501799

Proc. of SPIE Vol. 10810 108100C-1Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 3: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Different conto changing tthe etched mudifficult. Todtechnology ninitiate a masimulations a

In Section 2 wreference Ta-and we demoSection 4 sumselection.

In this Sectioresults onto timprovementdifferent mas

2.1 Material

When we lootheir EUV opresponse in ri

Figure 1 EUEUV absorpt

From TaBN absorber thickrefraction indand in the oth

2.2 Imaging

We studied thequivalent N5our calibratedillumination compared to c

ncepts have bethe multilayerultilayer mirro

day, the focus nodes. This beask absorber and experimen

we motivate t-based mask fonstrate our exmmarizes the

2. on we highligthe material opt. In the seconk absorber n&

l space vs. re

ok for alternatiptical propertigorous lithog

UV n&k space ion, phase ma

as starting pokness reductiodex of the mather direction to

g performanc

he imaging pe5 dedicated bud and experimsettings at NAcandidate abs

een introducedr mirror materor mask [14,1of the industrecame clear ichange [16].

ntal absorber m

the choice of for typical N5 xperimental e

e main findin

IMAGINGght the benefitptical propert

nd sub-Section&k. This leads

ference TaBN

ive mask absoties, n&k, as graphic simula

with TaBN aatching to vacu

oint we can ion and conseqterial allows io increase the

e of n&k regi

erformance ofuilding block

mentally validaA0.33 and seorber material

d over the recrials to Ru/Si15]. Realizingry is on novelin the 2018 iIn this pape

material tests,

alternative mabuilding bloc

evaluation megs of this pap

G GAIN IN t of a mask aty space. In thn we dive dee us to the iden

N mask absor

orber materialthese are - to

ators. Figure 1

as the diamonduum and inten

improve to mquently smallein one directioe contrast, whe

ions vs. refer

f various masks using the rigated mask Moelected featurels at 32nm thi

ent years, from[13] over em these mask cl mask absorbimec organizeer we report of potential n

ask absorberscks at NA0.33ethodology floper and point

EUV MASKabsorber chanhe first sub-Seeper into the sntification of m

rber

s from an imaogether with t plots the EUV

d and three pontional phase s

materials with er shadowing eon to reduce ten enhancing

rence TaBN m

k absorbers ingorous mask 3o/Si multilayee types and rickness with n

m tuning the Mmbedding the aconcepts in prber as the mosed forums gatthe careful e

novel EUV ma

s based on the. Section 3 de

ow using our ts to a path f

K ABSORBge by projectection we idenimulation resumaterials with

aging perspectthe absorber tV n&k space w

ossible n&k reshifting.

higher EUV effect due to ithe phase defothe phase shif

mask absorbe

n the three reg3D simulator er mirror modranges. The 6n&k combinati

Mo/Si multilaabsorber in throduction wort realistic impthering the E

evaluation, coask absorbers.

eir imaging peetails out the mengineered, nforward in th

BER CHANGting a wide rantify n&k regiults of foundr

h predicted im

tive, we reprethickness - acwith the meas

egions for nov

extinction coits increased Eormation, wheft character of

er

gions as indicaS-Litho EUV

del presented i60nm referencions as repres

ayer mirror pehe multilayer mrthy environmplementation tEUV blank suombining rigo

erformance comask absorbernovel EUV mahe absorber m

GE ange of imagiions of interery N5 building

maging gain.

sent the materccountable fosured values fo

vel absorber m

oefficient, whiEUV absorptioen n is matchef the material.

ated in FigureV (Synopsys) in [19]. Tablece TaBN masented in Table

eriodicity [12]mirror [3] and

ment turned outowards futureupply chain toorous imaging

ompared to ther requirementsask absorbers

material down

ing simulationst for imagingg blocks using

rial options byor the imagingfor TaBN [17]

materials: high

ich allows foron. Tuning theed to vacuum

1 for foundry[18] includinge 1 depicts thesk absorber ise 1 (c).

, d

ut e o g

e s s. -

n g g

y g .

h

r e

m,

y g e s

Proc. of SPIE Vol. 10810 108100C-2Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 4: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

30 40 50

30 40 50i 60 70

Pitch [nm]

-I

60 70Pitch [nm]

32

28rr094

V refractive ind

1

N

50 60

Pitch [i

I

+Trench --o-CH - Que

70 80 9

nm]

tFrl.lcil

.....40

F Trench - DipY

r CH - Quasar4

- --ttattataatt

80 90

-s- s

F Trench - DipY

.-CH - Quasar4

SO 90

tTrench

"WiliesimbM

70 80 9

nm]

10

5

0

-5

10

-15

-2030 40

Table 1 (a) Irigorous imag

Figure 2 MaNA0.33 as inerror; Left-bo

Illumination sging simulatio

(a)

ask 3D sensitndicated by thottom: NILS; R

settings at 0.3ons.

tive imaging he legend in eRight-bottom

33NA, (b) fea

(b)

metrics usingeach plot. Lef: Two bar CD

ature types th

g the 60nm Tft-top: best fo

D asymmetry th

hrough pitch,

TaBN referencocus variation hrough focus.

and (c) mask

ce mask for fthrough pitch

k absorber n&

(c)

features and ih; Right-top:

&k used in the

llumination aTelecentricity

e

at y

Proc. of SPIE Vol. 10810 108100C-3Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 5: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Qs4!

0.1

d 0.09

w 0.080.07

ac 0.06

-° 0.050.04

ti 0.03D 0.023 0.01

0

0.86 OS

- CH - Best Foc

8 0.9 0.92

n, EUV refrac

8 0.9 0.92

n, EUV ref rac

:H - max. telece

:us range

TaB

0.94 0.96 0.9e

Live Index

inm NILS

0.94 0.96 0.99

Give index

ocus range

DofaBN

4 0.96 0.98

. Index

asymmetry

4 0.96 0.98

a Index

Qs45 -C

0.1F., 0.09

.t 0.08

3 0.07c 0.06-O 0.05Vf0.04agi 0.03

j 0.02Lu 0.01

0

0.86 0.81

5 - CH - pitch40

P c

8 0.9 0.92 i

n, EUV refrac

0.1

v 0.09'9 0.08á 0.078 0.06

0.05VS 0.04ti 0.03

D 0.02

x 0.010

0.86 OS

Qs4!

ntricity error

asTaBN

0.94 0.96 0.9E

five Index

Trench - Best Fr

MEN'Mr--n--

0 9 0.92 0.9,

n, EUV refractive

4 0.96 0.98

, index

t2nm NILS

Jras

ecentr. error

jla BN

4 0.96 0.98

index

rench - max. tel

oa

1

0.9 0.92 0.94

n, EUV refractive

>itch32nm 2Bar

MMMr1MLaMMMMgaMMMMM0 9 0.92 0.9.

n, EUV refractive

0.10.090.080.070.060.050.040.030.020.01

0

0.86 0.88

Quasar45-'

Trench pitch:

0 9 0.92 0.94

n, EUV refractive

DipoleY90 - p

0.1

0.09

0.08

0.07

0.06

0.05

0.04

0.03

0.02

0.01

0

0.86 0.88

DipoleY90 -Tr

0.1

0.090.080.070.060.050.040.030.020.01

0

0.86 0.88

0.10.090.080.070.060.050.040.030.020.01

0

0.86 0.88

Quasar45 -

Figure 3 n&60nm TaBN a

&k plots with tabsorber mask

the change in k. The imagin

the imaging ng metric, feat

metric of the

ture type and i32nm n&k a

illumination aabsorber maskat NA0.33 as in

k compared tondicated abov

o the referenceve the plots.

e

Proc. of SPIE Vol. 10810 108100C-4Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 6: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

The imaging settings are thCD asymmetrbest focus thasymmetry thcompared to telecentricity metrics by chcircle symbocircle refers tis the value on&k space wiA region of inbe attributed vacuum regiovacuum causNILS. This fiobjective optbased mask. TaBN due ttelecentricity phase matchidetermines thgeneral impro

Figure 4 n&TaBN.

Within each oreside in the RuRe is positdetail in the n

Mask absorbeneeds to fulfimanufacturinmore extensivsub-Sections.

metrics sensithe best focus ry through foc

hrough pitch ahrough focusthe referenceerror and tw

hanging the abls is relative to a deterioratof the largest ith expected imncreased NILto a destruct

on of the masses a more profinding supporimization led A region of

to stronger Eerrors and tw

ing of the abhe optimal n&ovement.

&k plot indica

of these regioregion of higtioned in the

next Section.

er change is afil a diversity ng, mask fabricve list can be .

tive to mask 3variation, thecus. Figure 2 amounts to 40s at the smale mask implie

wo bar CD asybsorber materito the referen

tion of the merelative impromaging impro

LS can be obsetive interferensk. Moreover,onounced warts earlier worto the improvsmaller best

EUV absorptiwo bar CD asybsorber materi&k region, al

ating three reg

ons we enginegh extinction attenuated ph

3. EUVa complex anof requiremencation and qufound in [20]

3D effects evae NILS, the teshows the im

0nm, while thllest pitch ofes that the Nymmetry throial with respecnce TaBN. Thetric comparedovement. Comovement comperved for matence of (incre, the decrease

aveguide effecrk [2] where aved imaging ofocus range ton over a smymmetry throuial to the surlthough increa

gions with ex

ered and charcoefficient. N

hase shifting re

V MASK Ad difficult pronts to guarantalification, an]. Table 2 list

aluated throughelecentricity er

maging behaviohe maximum f 32nm exten

NILS needs toough focus nect to the referehe green colod to the behavmbining all thpared to the reerials with a rasingly) phased refraction ict for the ligha co-optimizatof an attenuatthrough pitch maller absorbugh focus canrrounding vacasing the ext

xpected impro

racterized poteNi3Al and TaTegion. Figure

BSORBER ocess in the mtee success th

nd mask use pts the requirem

h pitch for therror (i.e., patteor using the 60telecentricity

nts to 48mrado increase, wheed to decreasence is plottedor implies anvior of the refhe imaging reeference maskrefraction indese shifted lighindex contras

ht in the vacution of mask ed phase shiftcan be found

ber thickness n be found at acuum. The weinction coeffi

oved imaging

ential EUV mTe2 exhibit a

4 illustrates t

REQUIREMmask making hroughout theose various re

ments we inve

e three featureern shift throu0nm TaBN reerror reaches

d. Improving hile the best fse. The relativd in n&k plotsimprovement

ference mask. sults allows u

k. The resultinex n smaller tht in the absot between the

uum spaces anmaterial and

fting mask comd at higher ex

minimizing a refraction ineight given toicient k comp

performance

mask absorber refraction indthe n&k of th

MENTS technology, s

e complete EUequirements oestigated in m

e types and twugh focus), aneference masks -13mrad and

the imagingfocus range, ve change of in Figure 3. T

t of the metricAlso indicate

us to identify ng plot is showthan that of Taorber area ane absorber mand a further inillumination t

mpared to the xtinction coefthe phase im

ndex n close to each optimpared to TaBN

compared to

materials. Agdex approachihe materials st

since the candUV mask life on the candidamore details in

wo illuminationnd the two bar

k. The range od two bar CD

g performancethe maximumeach of these

The size of thec, while a reded in each ploregions in the

wn in Figure 4aBN. This can

nd light in theaterial and thencrease of thethrough multireference Ta

fficient k thanmpact. Lowerto 1 due to theization metricN points to a

the reference

g, Ni and PtTeing one, whiletudied in more

didate materiacycle. Blank

ate absorber. Athe following

n r f

D e

m e e d

ot e

4. n e e e --n r e c a

e

e e e

al k A g

Proc. of SPIE Vol. 10810 108100C-5Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 7: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Table 2 Inve

Character

Film morp

Optical co

Mask dur

Mask patt

3.1 Film mo

Single metal formation [1alloying. Ag-based layextinction cocrystallizationadhesive layestructure, witdiffractometrsurface rougroughness, Anm, and are lsize Ag to naratio of Ag toAg/Cu multil

Figure 5 (a) crystallinity.

Alloying the film poly-cryimprovement50% Te due shifting materTo increase thmicroscope (confirmed by

estigated mask

rization

phology

onstants

rability

terning

orphology

films deposi,20]. In the a

yered structureoefficients at n and islandiner, such as Teth individual

ry (XRD) (seeghness with pAg/Cu multilayless prone to oanometer size o Cu can be flayers provide

XRD spectra(b) XRD com

suitable opticystallinity. In t of Ni-Al allo

to its high Erials [2]. he chemical s(TEM) image

y in-situ XRD

k absorber requ

Evaluation Crystallinity Surface compSurface roughEUV n&k

EUV & H* inWet clean

Traditional or

ited by physiabsorber film

es have been d13.5 nm, andng/roughness

e or Cu. Furthlayers of a

e Figure 5(a)) peak-to-valleyyers have beeoxidation thanCu crystallite

further explore from this per

a for a singlemparison of cry

cal property oour earlier w

oys [20]. To EUV absorpt

stability of Tee in Figure 6(IS-XRD) me

uirements wit

position hness

nteraction

r disruptive

ical vapor dems, studied in

developed as pd as such is development

her build-up ofew nanometshowed mode

y in the fewen developed n Te. Changines, both far awed in order torspective a pro

e Ag layer, Aystallinity in l

of individual ework we alreensure high Eion. Our dev

, we have inv6(a) shows theasurements, w

th their impact

impactsLine edgFilm stabOut-of-bImaging

Usage inMask cle

Pattern p

eposition (PVn this work, t

potential alterof interest fo

t during sputtof crystallinityters. Structuraerately reduce

w-nanometer rthat exhibit n

ng the fractionway from full o tailor the opomising candi

Ag/Te and Agow and high C

elements in a eady reportedEUV absorptiovelopment inc

vestigated the he quasi-amowhere it is sho

t on EUV lith

ge roughness bility band

n scanner eaning

profile

VD) suffer frothe poly-cryst

rnative binary or absorber dter deposited y and roughneal characterized crystallite srange. To furnanometer sizen of Ag and Cu

layer crystallptical responseidate for appli

g/Cu multilayCu containing

stable compod on the deveon, we engine

cludes Ru-allo

noble metal terphous morp

own that recry

ography and t

TestinTEMXPS,AFMEUV H* EUV ICP-MRIE o

om full-layer tallinity is re

absorbers. Agdevelopment.

Ag growth wess is repressezation of the sizes, comparerther reduce e crystals, havu only shifts tlization, as pre of the multication as bina

yers. Ag/Cu mg Ag/Cu multil

osition is anotelopment, chaeered metal teoys intended

elluride Pt-Tehology of as

ystallization oc

the testing me

ng methodoloM, IS-XRD

TEM M

reflectometrycleaner, hig

MS or ASD

crystallizatioeduced by mu

g exhibits oneThe problem

was addresseded by using a Ag/Te systemed to pure Agthe film cry

ve a rms rougthe balance froresented in Figlayer absorbe

ary absorber m

multilayers shlayers.

ther approacharacterization elluride alloysfor EUV atte

e. The transmis-deposited Ptccurs at 210°C

ethodology.

ogy

y gh power

on and islandultilayering or

e of the largesm of full layerd by using anmulti-layered

m with X-rayg, coupled to aystallinity andghness of 0.25om nanometergure 5(b). Theer, and as suchmaterial.

how very low

h to reduce theand imaging

s with at leasenuated phase

ission electrontTe, which isC.

-r

st r n d y a d 5 r e h

w

e g st e

n s

Proc. of SPIE Vol. 10810 108100C-6Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 8: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

We investigaquasi-amorph350°C, whichthe full absorAs-depositedThis crystallielements, suc[21-22].

Figure 6 Top

3.2 EUV opt

The EUV opinduced imagnm) are condII storage rinin the wavelethe engineererigorous litho

Figure 7 EUV(b) Ni3Al film

ated the feasibhous morpholh will be discurber layer. d Ru-alloys exine phase remch as N and P

p: TEM image

tical properti

ptical propertiging effects. Educted in the lug [17]. The ob

ength range, aed alloys is eographic simul

V reflectance m and (c) Ru3R

bility of Ta-telogy of as-depussed in more

xhibit a high dmains the sam, might reduce

(a)e of as-deposit

ies

ies of the maEUV reflectanubrication freebtained surfac

as well as the essential to colation (cf. Sec

(a)

(in log10 scalRe film on Si

elluride. The posited Ta-Te

e detail in Sec

degree of polyme towards 50e the crystalli

ted (a) PtTe, (

ask absorber nce measureme EUV reflectce plot, presenthickness of torrectly prediction 2.2).

le) as functionsubstrate.

IS-XRD meae alloy. A chtion 3.3.1. Fu

y-crystallinity00°C, based nity, by break

(b)(b) TaTe2, and

material and ments throughtometer of PTnted for three the individualict the imagin

(b)n of waveleng

asurements anhange in backurthermore, the

y, visible in thon the IS-XR

king the Ru cr

d (c) Ru3Re fil

its thicknessh incidence anB at the soft Xalloys in Figu

l layers in the ng impact of

gth and inciden

nd TEM imagkground intense presence of

he TEM imagRD measuremrystal lattice th

lm. Bottom: c

s determine itngle and waveX-ray radiomeure 7, is fittedfilm. The accthese potenti

nce angle of a

ge in Figure 6sity can be doxygen is det

ge of Ru3Re iments. Doping

hrough their s

(c) orresponding

ts ability to melength (frometry beamline d to provide thcurate n&k mial mask abso

(c) a ~30nm thick

6(b) prove thedetected abovetected through

in Figure 6(c)g with smallersize difference

IS-XRD plot.

mitigate maskm 10 nm to 16

at the BESSYhe n&k values

measurement oorber films in

k (a) PtTe film

e e h

). r e

.

k 6 Y s f n

m,

Proc. of SPIE Vol. 10810 108100C-7Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 9: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

3.3 Absorbe

During normoperation. Aparticles. Weenvironment films allows u

3.3.1 The

The temperat

We quantifiedKissinger anapresented in beyond the ty

Under thermaat different ttellurides a sistabilize the T

Figure 8 (a) Lfor (b) TaTe2

From the theduring typica

3.3.2 Wet

The candidatemask cleaninin a beaker wthickness loseach surface Ni3Al in bothrespectively.

Next, the PtT(ICP-MS) to in both aqueo

er durability

mal mask usagdditionally, th

e have a testinand in soluti

us to make a p

rmal stability

ture of the ma

d the lifetime alysis [23]. FoFigure 8(a). A

ypical mask lif

al loading the temperatures, ignificant Te Te better than

(a) Lifetime untiland (c) PtTe

ermal stabilityal mask therma

t conditions

e mask absorbng: (1) de-ioniwith the solutis, rougheningelement. Alu

h tested aqueo

Te film is chardetermine the

ous solutions a

ge in the fabhe mask nee

ng methodologons of the caproper absorbe

y

sk in the scan

of the candidor the PtTe filAt a constantfetime in a fab

alloy might sas shown in loss is detectethe Ta-telluri

l recrystallizatfilm.

y tests on the al conditions (

ber must stay zed water (DIions for ~24 hg or density chuminum metalous solutions.

racterized by e rate of mateafter three diff

b the mask abeds to withstagy in place toandidate mateer selection.

ner or in stora

date absorber flm we extrapot temperature b.

suffer from maFigure 8 (b-

ed at 500°C. Aide, where som

tion of PtTe f

engineered a(i.e., below 15

stable duringIW) at pH 5.7hours. After vhange. The stl reacts underTaTe2 and Pt

the highly senerial loss in eaferent time du

bsorber mustand several w assess the thrial films. Th

age will vary,

films by calcuolated the lifet

of 80°C the

aterial loss. F-c). Te becomAt a temperat

me Te loss is n

(b)film vs. tempe

absorber films50°C).

typical mask7, (2) 1% NH4visual inspectitability of the r both acidic atTe are more s

nsitive techniach aqueous surations.

t stay unalterwet conditionermal stability

his stability qu

but it may no

ulating the recrtime until recrPtTe film wi

or the TaTe2 ames volatile jture of 250°Cnoticed.

erature. Te los

s we learned

k cleaning. We4OH at pH 11ion the films aalloys in wet

and alkalic costable in the s

que of inductolution. Figur

red in scannens, when cleay as well as thuantification

t impact the m

rystallization rystallization ll recrystalliz

and PtTe filmust above 40

C the noble me

ss measured a

that PtTe and

e selected two.4. First the sare measured t conditions donditions, whsolutions due t

tively coupledre 9(a) shows

er conditions aned for remhe stability unof the engine

material morph

activation eneat different tee after 450 y

ms the Te loss 00°C and theretal telluride P

(c) at different the

d TaTe2 will

o solutions curamples are fuby XRR to d

depends on thhich impacts thto the stability

d plasma massthe measured

under normamoving surface

nder hydrogeneered absorber

hology.

ergy through aemperatures asears, which is

was measuredrefore in bothPtTe seems to

ermal loadings

stay unaltered

rrently used inully submerseddetermine filme reactivity ohe reaction oy of Ta and P

s spectrometryd Te dissolved

al e n r

a s s

d h o

s

d

n d

m f f

Pt

y d

Proc. of SPIE Vol. 10810 108100C-8Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 10: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Figure 9 (a) D(b) Calculated

From the slopand less thanperform an im

3.3.3 Scan

In the scannethese operatioHowever, theTherefore, wehydrogen clebackscatterinintact after ~telluride PtTe

Figure 10 Re

The individuaconditions to is required totest in an irrcombined EUthe films afte

Dissolved Te d Te loss rate

pe through timn 1nm in 1% Nmaging sensiti

nner conditio

er the mask, anon conditionse absorber me conducted a

eaner [20]. Thg spectrometr

~24 hours H* e seems to bin

elative elemen

al H* test withpure H* envi effectively as

radiation chamUV power denr EUV+H2 ex

measured byper full day in

me we calculaNH4OH. The ivity study in

ons

nd thus the ms hydrogen ramaterial is noa first assessmhe elemental ry (RBS) and exposure, wh

nd the Te stron

ntal content af

h the novel abironment are tssess the impamber at the bnsity and H2 gxposure by X-r

(a)y ICP-MS in tn two differen

ate the Te dissquantificationa next stage.

mask absorber adicals (H*) cot allowed to

ment by exposicontent of ththe relative ch

hile the telluringer in the bul

fter more than

bsorber films ptoo aggressiveact of combineamline of Pgas pressure [ray photoelect

two different nt aqueous sol

solution after n of absorber

material, is excan be formed form volatiling our alloy fhe films beforhanges are ploides lose Te clk than the Ta

24 hours H*

provides a roue compared toed high EUV TB where the[24]. Our inititron spectrosc

aqueous solutlutions.

a full day of material loss

xposed to higd and these Hle contaminanfilms to a strore and after eotted in Figurcontent due toa-telluride.

exposure as d

ugh estimate oo the actual scpower and H2e PtTe films ial results in Fcopy (XPS).

(b) tions after thr

submersion tos after multipl

gh EUV powerH* can react wnts or to cha

ong H* environexposure was re 10. The Ni3o reaction to H

determined by

of possible intecanner conditi2 atmosphere.were exposed

Figure 11 are

ree different ti

o be less the 0le mask clean

r in H2 envirowith the absoange its filmnment in imecdetermined b

3Al and Ru3ReH*. Again, th

RBS.

eractions. Howions. A dedica We performed to variable obtained by

ime durations

0.4nm in DIWns allows us to

onment. Underorber material

m morphologyc’s EUV Techby Rutherforde films remainhe noble meta

wever, the tesated test setuped a feasibility

conditions ocharacterizing

s.

W o

r l. y. h d n al

st p y f g

Proc. of SPIE Vol. 10810 108100C-9Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 11: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

Figure 11 Noconditions.

Two groups ooxidized Te psurface to meThese initialenvironment,conditions an

3.4 Absorbe

To emphasisapproaches. Sbe considered

3.4.1 Sub

Mask absorbeetching procesputtering canwork [1] wecomponent ofand to demon

In a first etchmaterial film and the TaTe

(a)Figure 12 Cr(c) PtTe film

ormalized XPS

of results canpeaks at the Ptetallic Te, resu results indic but the samp

nd matching to

er patterning

s the importaSubtractive pad a disruptive

tractive patte

er patterning ess. By formin pattern thro

e experienced f this etch tec

nstrate volatile

hing test a 1µin an RIE too

2 film.

) ross-section SEon Si substrat

S spectra of T

n be observedtTe surface, wulting in equalcate the sensples need addo actual scann

ance of absoratterning is thmanner for th

erning

is typically acing volatile coough thin met

the challengchnique by usie formation w

µm thick pattol. The cross-s

EM images afte and with a

Te3d peaks fro

: the referencwhile a combinl Te-oxide andsitivity of thditional charaer conditions

rber patterninhe traditional whe mask makin

chieved with ompounds, thtal layers anisges of metal ping halogen-bith the elemen

terned resist fsection SEM i

(bfter chemical 1µm thick res

om the referen

e PtTe film anation of EUVd metallic Te pe materials t

acterization tois ongoing.

ng we assessway of patternng technology

reactive ion ehe etching is msotropically, bpatterning thr

based plasma ontal componen

film is used aimages in Fig

)etch in haloge

sist pattern on

nce and five P

and the PtTe fV and H2 gas speaks. to the combi quantify its

ed experimenning the mask

y.

etching (RIE),material selec

but it is not exrough RIE etonly, without nts of the abso

as mask for thgure 12 illustra

en-based plasmtop.

PtTe films exp

films only expseems to reduc

ined exposureimpact. Furth

ntally the feak absorber, wh

, which is a cctive. The phyxtremely matetching. Now a carrier gas

orber alloy.

he chemical eate the outcom

ma of ~30nm

posed to diffe

posed to EUVce the Te-oxid

e of EUV pher optimizati

asibility of twhile additive p

chemically assysical etchingerial selectivewe focus on to reduce the

etching of theme for the pur

(c) thick (a) Te, (

erent EUV+H

V show strongde on the PtTe

power and Hion of the tes

wo patterningpatterning can

sisted physicag by ion beame. From earlier

the chemicaphysical etch

e ~30nm thickre Te, the PtTe

(b) TaTe2, and

2

g e

2 st

g n

al m r

al h,

k e

d

Proc. of SPIE Vol. 10810 108100C-10Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 12: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

The pure Te removed in tmaterials aresidewalls andas we also ob

High-k metaldevelopment

3.4.2 Add

Because subtrdisruptive appSince our siman EUV masusing a dielec

We start withsidewall and sidewall defincontrollable wFigure 13 (bFurthermore, template is reshows a fullyadvantage of create amorphthe compatibi

Figure 13 Sicobalt ASD; (

In this paper wexperimental thickness witthese improvEUV absorpt

film is isotrothe chemical e patternable d from residuebserved for Ni

ls, like Ni anis needed to s

ditive pattern

ractive metal proach of met

mulation studysk is capped wctric template

h sacrificial paedge of the

nition. In a neway on the opb) the trenche

the Ru cappemoved to rey amorphous f the additive hous metal paility with mas

(a) mplified flow(c) Co pattern

we proposed nbehavior in E

th improved mement regionstion and ther

pically etchedetch process.in a subtract

es in the remoetch [1].

nd Pt, are disolve these pa

ning

patterning potal-on-metal ay converges towith Ru, whicthrough meta

atterning in a dfinal metal f

ext step the Apen Ru metal es in the temping layer is sult in the fincobalt patternpatterning tec

atterns on the sk size, e.g., in

w for metal-onn after templat

novel EUV mEUV lithograpmask induceds, we engineerefore will su

d in the plasm This is a strtive way. Ho

oved trenches,

fficult to etchatterning challe

ses challengesarea selective do high-k metalsch is a metal, al electroless d

dielectric layefeatures. The ASD is perfor

areas in betwmplate are filnot damaged

nal Co metal n, as crystallizchnique, whilRu cap of the

n terms of thic

n-metal ASDte removal.

4.mask absorbersphy conditionsd imaging effeered novel mauffer less fro

ma and is therrong indicatio

owever, the Pwhich is typi

h selectivelyenges.

s on selectivitdeposition (As as candidatewe can grow

deposition [26

er (e.g., SiO2).dielectric pa

rmed where thween the templled with cob

d by additive absorber pattzation is confle Co PVD ise multilayer mckness uniform

(b)D on 200nm p

CONCLUSs based on a cos. We identifieects comparedask absorbers.om best focus

refore likely eon that alloys Pt-telluride saical for metal

with known

ty and chemicSD) for mask

e mask absorbw in a control6]. The flow is

. The quality oatterning enabhe metal (i.e.,plate. In our ebalt without

patterning, cern. Charactefined by the d

poly-crystallmirror. Furthermity and defec

pitch equal lin

SION ombined asseed EUV n&kd to the curren The PtTe alls variations.

etchable by Rwith Te and

mple suffers etching with

chemistries.

cal volatility opatterning pu

ber materials, alable way me

s exemplified i

of the templatbles further C the mask ab

example cobalCo deposition

contrary to eterization of thdielectric temline. Additive r optimizationctivity.

nes/spaces. (a

ssment of theiregions for mnt Ta-based mloy and Ag-baNiAl and Ta

IE. The Ta-ted other chemi

from fences limited volati

Direct metal

f metal elemeurpose [25]. and the multiletal selectivelyin Figure 13.

te pattern will CD/pitch scalisorber materilt ASD is perfn on top of tching. In thehe Co ASD in

mplate. This ispatterning ha

n is required t

(c)a) Template p

ir imaging permask absorbersmask absorberased multilayeaTe alloys m

elluride is alsocally etchableon the resis

le byproducts

l etch process

ents, we took a

layer mirror oy on metal by

determine theing with goodal) grows in aformed and inthe template

e last step then this example an additionaas potential toto demonstrate

patterning; (b)

rformance ands around 32nmr. For each oer have a high

match at EUV

o e st s,

s

a

f y

e d a n e. e e

al o e

)

d m f h V

Proc. of SPIE Vol. 10810 108100C-11Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 13: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

wavelength their phase close to vacuum resulting in reduced telecentricity errors and two bar CD asymmetry through focus. The RuRe alloy is put forward as potential attenuated phase shifting material for EUV masks expected to increase NILS at reduced dose-to-size with the proper mask-illumination optimization.

We presented the experimental testing methodology geared to address several essential mask absorber requirements to allow for a material down-selection. Our testing flow assesses the durability of the film morphology under different thermal, EUV, hydrogen, and cleaning conditions, typical for mask environment. We found that the most interesting materials from lithographic perspective pose challenges on traditional mask etching technology. Adapting towards etchable materials creates a trade-off in material durability and subtractive patterning. Therefore, we introduced the concept of additive patterning for mask absorbers.

Our next goal is to find solutions – through collaborative efforts – for the absorber patterning challenges of the proposed novel mask absorber materials.

5. ACKNOWLEDGEMENTS

This project has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 662338. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, Israel. The authors are grateful to Dr. I. Pollentier and Dr. J. Rip for their assistance in the durability testing. Dr. L. Souriau is acknowledged for his etch support and expertise. For metrology support we thank N. Vandenbroeck, P. Jaenen, Dr. T. Conard, Dr. I. Hoflijk, and Dr. J. Meersschaut (imec). Area selective deposition of Co was made possible thanks to K. Vandersmissen and Dr. BT Chan (imec). We appreciate the support of Dr. G. McIntyre, Dr. K. Ronse, Dr. S. Van Elshocht (imec), and Prof. Dr. M. Heyns (KU Leuven).

REFERENCES

1 Philipsen, V., Luong, K.V., Souriau, L., Erdmann, A., Evanschitzky, P., van de Kruijs, R.W. E., Edrisi, A., Scholze, F., Laubis, C., Irmscher, M., Naasz, S., Reuter, C., Hendrickx, E., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” Journal of Micro/Nanolithography, MEMS, and MOEMS 16 (4), 041002 (2017).

2 Erdmann, A., Evanschitzky, P., Mesilhy, H., Philipsen, V., Hendrickx, E., Bauer, M., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” Journal of Micro/Nanolithography, MEMS, and MOEMS 18 (1), 011005 (2018).

3 Erdmann, A., Fühner, T., Evanschitzky, P., Neumann, J.T., Ruoff, J., Gräupner, P., “Modeling studies on alternative EUV mask concepts for higher NA,” Proc. SPIE 8679, 86791Q (2013).

4 Erdmann, A., Xu, D., Evanschitzky, P., Philipsen, V., Luong, V., Hendrickx, E., “Characterization and Mitigation of 3D Mask Effects in Extreme Ultraviolet Lithography,” Advanced Optical Technologies 6, 187 (2017).

5 Finders, J., de Winter, L., Last, T., “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS 15 (2), 021408 (2016).

6 Yan, P.Y., “The impact of EUVL mask buffer and absorber material properties on mask quality and performance,” Proc. SPIE 4688, 150 (2002).

7 Burkhardt, M., “Investigation of alternate mask absorbers in EUV lithography,” Proc. SPIE 10143, 1014312 (2017).

8 Wood, O., Raghunathan, S., Mangat, P., Philipsen, V., Luong, V., Kearney, P., Verduijn, E., Kumar, A., Patil, S., Laubis, C., Soltwisch, V., Scholze, F., “Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks,” Proc. SPIE 9422, 94220I (2015).

9 Erdmann, A., Evanschitzky, P., Neumann, J.T., Gräupner, P., “Mask-induced best-focus-shifts in DUV and EUV lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS 15 (2), 021205 (2016).

Proc. of SPIE Vol. 10810 108100C-12Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 14: PROCEEDINGS OF SPIENovel EUV mask absorber evaluatio n in support of next-generation EUV imaging Vicky Philipsen 1,*, Kim Vu Luong 1,2, Karl Opsomer 1, Christophe Detavernier 3, Eric

10 Davydova, N., de Kruif, R., Rolff, H., Connolly, B., van Setten, E., Lammers, A., Oorschot, D., Fukugami, N., Kodera, Y., “Experimental approach to EUV imaging enhancement by mask absorber height optimization,” Proc. SPIE 8886, 888622 (2013).

11 Last, T., L. de Winter, L., Finders, J., “Imaging enhancement by reduction of mask topography induced phase aberrations for horizontal 1D spaces under D90Y illumination,” Proc. SPIE 9635, 96350K (2015).

12 Philipsen, V., Hendrickx, E., Verduijn, E., Raghunathan, S., Wood, O., Soltwisch, V., Scholze, F., Davydova, N., and Mangat, P., “Imaging impact of multilayer tuning in EUV masks, experimental validation,” Proc. SPIE 9235, 923518 (2014).

13 Wood, O., Wong, K., Parks, V., Kearney, P., Meyer-Ilse, J., Luong, V., Philipsen, V., Faheem, M., Liang, Y., Kumar, A., Chen, E., Bennett, C., Fu, B., Gribelyuk, M., Zhao, W., Mangat, P., van der Heide, P., “Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography masks,” Proc. SPIE 9776, 977619 (2016).

14 Takai, K., Motokawa, T., Koji, M., Kamo, T., Hayashi, N., “Patterning of EUVL binary etched multilayer mask,” Proc. SPIE 8880, 88802M (2013).

15 Van Look, L., Philipsen, V., Hendrickx, E., Vandenberghe, G., Davydova, N., Wittebrood, F., de Kruif, R., van Oosten, A., Miyazaki, J., Fliervoet, T., van Schoot, J., Neumann, J.T., “Alternative EUV mask technology to compensate for mask 3D effects,” Proc. SPIE 9658, 96580I (2015).

16 imec Technology Forum on advanced EUV mask absorbers at SPIE AL 2018 and PUV 2018.

17 Scholze, F., Laubis, C., Luong, K.V., Philipsen, V., "Update on optical material properties for alternative EUV mask absorber materials,” Proc. SPIE 10446, 1044609 (2017).

18 http://www.synopsys.com/silicon/mask-synthesis/Sentaurus-Lithography.html

19 Philipsen, V., Hendrickx, E., Jonckheere, R., Davydova, N., Fliervoet, T., and Neumann, J.T., “Actinic characterization and modeling of the EUV mask stack,” Proc. SPIE 8886, 19 (2013).

20 Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018).

21 Shin, J., Waheed, A., Agapiou, K., Winkenwerder, W.A., Kim, H.W., Jones, R.A., Hwang, G.S., Ekerdt, J.G., “Growth of ultrathin films of amorphous ruthenium-phosphorus alloys using a single source CVD precursor,” J. Am. Chem. Soc. 128 (51), 16510 (2006).

22 Wu, C.Y., Lee, W.H., Chang, S.C., Cheng, Y.L., Wang, Y.L., “Effect of annealing on the microstructure and electrical property of RuN thin films,” J. Electrochem. Soc. 158 (3), H338 (2011).

23 Devulder, W., Opsomer, K., Minjauw, M.M., Meersschaut, J., Jurczak, M., Goux, L., Detavernier, C., “Study of amorphous Cu-Te-Si thin films showing high thermal stability for application as a cation supply layer in conductive bridge random access memory devices,” RSC Adv. 6, 32106 (2016). A detailed report on the crystallization kinetics will be covered in a forthcoming paper of K. Opsomer, et al.

24 Klein, R., Scholze, F., Thornagel, R., Tummler, J., Wedowski, M., Jansen, R., Mertens, B., van de Runstraat, A., Ulm, G., “Irradiation of EUV multilayer optics with synchrotron radiation of a different time structure,” Proc. SPIE 4782, 292 (2002).

25 Philipsen, V., Luong, K.V., Souriau, L., Altamirano-Sanchez, E., Adelmann, C., Hendrickx, E., Scholze, F., Laubis, C., Kruemberg, J., Reuter, C., “Single element and metal alloy novel EUV mask absorbers for improved imaging,” Proc. SPIE 10450 (2017), International Conference on Extreme Ultraviolet Lithography 2017.

26 Kolics, A., “Electroless technology for the upcoming challenges in interconnect metallization,” ECS Transactions 60 (1), 431 (2014).

Proc. of SPIE Vol. 10810 108100C-13Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 01 Nov 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use


Recommended