+ All Categories
Home > Documents > Stepper Motor

Stepper Motor

Date post: 07-Sep-2014
Category:
Upload: chanras-sun
View: 84 times
Download: 0 times
Share this document with a friend
Popular Tags:
33
Subject : Stepper Motor Lecturer: Bo Prak Member in group : Sun Chanras,Lim Hoklay National Polytechnic Institute of Cambodia Department of Electronics Engineering Assignment Submit :29 th February 2012 Present :29 th February 201
Transcript
Page 1: Stepper Motor

Subject : Stepper Motor

Lecturer: Bo Prak

Member in group : Sun Chanras,Lim Hoklay

National Polytechnic Institute of Cambodia

Department of

Electronics Engineering

Assignment

Submit :29th February 2012Present :29th February 2012

Page 2: Stepper Motor

Content

• I-Introduction

• II-Stepper motor type

• III-Using

• IV-Basic operation

• V-Calculation and parameter

• VI-Interface with PIC microcontroller

Page 3: Stepper Motor

Content

• VII-Reference

Page 4: Stepper Motor

I-Introduction

Fig 1.1: Stepper motor

Page 5: Stepper Motor

I-Introduction

• Stepper motor is an electromechanical device which

convert electrical pulse into discrete mechanical

movement.

• The shaft of a stepper motor rotates in discrete step

when electrical command pulses are applied to it in the

proper sequence.

Page 6: Stepper Motor

II-Stepper motor types

• There are three basic stepper motor type . They are:

• Variable-reluctance(VR)

• Permanent-magnet(PM)

• -Hybrid(HB)

Page 7: Stepper Motor

II-Stepper motor types

• Variable Reluctance(VR):

• This type of stepper motor has been around for along

time. It’s probably the easiest to understand from a

structural point of view.

• Figure 2.1 shows a cross-section of a typical variable-

reluctance stepper motor.

Page 8: Stepper Motor

II-Stepper motor types

• Figure 2.2 shows The variable-reluctance stepper motors.

Fig 2.2 :Variable-reluctance stepper motor

Fig 2.1 : Cross-section ofvariable reluctance stepper motor

Page 9: Stepper Motor

II-Stepper motor types

• Permanent Magnet(PM):

• The permanent-magnet stepper motor is a low cost and

low resolution type motor with typical step angles of 7.5 to

15 degree.

• Figure 2.3 show the cross-section of permanent-magnet

stepper motor.

Page 10: Stepper Motor

II-Stepper motor types

• Figure 2.4 show the permanent-magnet stepper motor.

Fig 2.4 :Permanent-magnet stepper motor

Fig 2.3 : Cross-section ofPermanent-magnet stepper motor

Page 11: Stepper Motor

II-Stepper motor types

• Hybrid(HB):

• The hybrid stepper motor is more expensive than the PM

motor but provides better performance with respect to

step resolution , torque and speed.

• Typical step angle for the HB stepper motor rang from 3.6

degree to 0.9 degree

Page 12: Stepper Motor

II-Stepper motor types

• The hybrid stepper motor combines the best features of

both the PM and VR type stepper motors.

• Figure 2.5 show the cross-section of hybrid stepper motor.

• Figure 2.6 show the hybrid stepper motor.

Page 13: Stepper Motor

II-Stepper motor types

Fig 2.6 :Hybrid stepper motorFig 2.5 : Cross-section ofHybrid stepper motor

Page 14: Stepper Motor

III-Using

• There are a lot of stepper motor application such as:

• Robot arm control.

• Car mirror controls

• Automated door windows

Page 15: Stepper Motor

IV-Basic operation

• Stepper motors consist of a permanent magnet rotating

shaft, called the rotor.

• Electromagnets on the stationary portion that surrounds

the motor, called the stator.

•  At position 1, we can see that the rotor is beginning at the

upper electromagnet, which is currently active.

Page 16: Stepper Motor

IV-Basic operation

 

Fig 4.1 : Show position 1

Page 17: Stepper Motor

IV-Basic operation

• To move the rotor clockwise (CW), the upper

electromagnet is deactivated and the right electromagnet

is activated.

• causing the rotor to move 90 degrees CW, aligning itself

with the active magnet.

Page 18: Stepper Motor

IV-Basic operation

• Figure 5.2 show this operation. 

Fig 4.2 : Show position 2

Page 19: Stepper Motor

IV-Basic operation

• This process is repeated in the same manner until we

once again reach the starting position.. 

• Figure 4.3 and 4.4 show the position 3 and position 4

Page 20: Stepper Motor

IV-Basic operation

Fig 4.3 : Show position 3 Fig 4.4 : Show position 4

Page 21: Stepper Motor

V-Calculation and parameter

• Step sequence:

• Stepper motors can be driven in two different patterns or

sequences . namely:

• Full Step Sequence

• Half Step Sequence

Page 22: Stepper Motor

V-Calculation and parameter

• Full step sequence:

• In the full step sequence, two coils are energized at the

same time and motor shaft rotates. The order in which

coils has to be energized is given in the table below.

Page 23: Stepper Motor

V-Calculation and parameter

.• Table 5.1 show the step sequence in full mode:

Table 5.1 : Full step sequence

Page 24: Stepper Motor

V-Calculation and parameter

• Half step sequence:

• In Half mode step sequence, motor step angle reduces to

half the angle in full mode. So the angular resolution is

also increased i.e. it becomes double the angular

resolution in full mode. Also in half mode sequence the

number of steps gets doubled as that of full mode.

Page 25: Stepper Motor

V-Calculation and parameter

• Table 5.2 show the half step sequence:

Table 5.2 : Half step sequence

Page 26: Stepper Motor

V-Calculation and parameter

• To calculate step angle , simply divide 360 by number of

steps a motor takes to complete one revolution. 

• Step Angle ø = 360° / 4 = 90°

• and in case of half mode step angle gets half so 45°.

• Step angle:

Page 27: Stepper Motor

VI-Interface with PIC microcontroller

• Connecting Stepper Motor with Microcontroller

(PIC16F887) using ULN2003

• Simulate in proteus

• Figure 6.1 show the simulation in proteus.

Page 28: Stepper Motor

VI-Interface with PIC microcontroller

• Figure 6.1 : Simulation in proteus

Page 29: Stepper Motor

VI-Interface with PIC microcontrollerFull step programming:

void main()

{

ANSEL = 0; // Configure AN pins as digital I/O

ANSELH = 0;

PORTD = 0;

TRISD = 0; // Configure PORTD as output

while(1)

{

PORTD=0x09;

Delay_ms(500);

PORTD=0x0C;

Delay_ms(500);

PORTD=0x06;

Delay_ms(500);

PORTD=0x03;

Delay_ms(500);

}

}

Page 30: Stepper Motor

VI-Interface with PIC microcontrollerHalf step programming:

void main()

{

ANSEL = 0; // Configure AN pins as digital I/O

ANSELH = 0;

PORTD = 0;

TRISD = 0; // Configure PORTD as output

while(1)

{

PORTD=0×08;

Delay_ms(500);

PORTD=0x0C;

Delay_ms(500);

PORTD=0×04;

Page 31: Stepper Motor

VI-Interface with PIC microcontrollerDelay_ms(500);

PORTD=0×06;

Delay_ms(500);

PORTD=0×02;

Delay_ms(500);

PORTD=0×03;

Delay_ms(500);

PORTD=0×01;

Delay_ms(500);

PORTD=0×09;

Delay_ms(500);

Delay_ms(500);

}

}

Page 33: Stepper Motor

End of presentation

Thanks for yourattention


Recommended