+ All Categories
Home > Documents > SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL...

SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL...

Date post: 11-Mar-2021
Category:
Upload: others
View: 1 times
Download: 0 times
Share this document with a friend
133
1 SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY By KYOUNG-HO BU A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY UNIVERSITY OF FLORIDA 2007
Transcript
Page 1: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

1

SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY

By

KYOUNG-HO BU

A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT

OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY

UNIVERSITY OF FLORIDA

2007

Page 2: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

2

© 2007 Kyoung-Ho Bu

Page 3: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

3

To my beloved family, Mineok, Minji, and Seongah Byeon.

Page 4: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

4

ACKNOWLEDGMENTS

It is a privilege to work with intelligent and committed individuals. Too many people to

mention have influenced my work and provided inspiration and useful suggestions over many

years, but I would especially like to express my appreciation to my advisor, Dr. Brij Moudgil, for

his invaluable research guidance and constructive support through intense discussions and

productive feedback on this study. His sincere dedication to science, discipline in conducting

research and considerate attention to details have always kept me moving forward and made

significant contributions to this dissertation.

I would also like to acknowledge the other members of my advisory committee, Dr. Rajiv

Singh, Dr. Stephen Pearton, Dr. Dinesh Shah, and Dr. Wolfgang Sigmund, for their

indispensable support. I also wish to acknowledge Dr. Susan Sinnott, Dr. Chang-Won Park, Dr.

Yakov Rabinovich, Dr. Ivan Vakarelski, Dr. Parvesh Sharma, and Dr. Manoj Varshney who

have informed and elaborated this work, with special appreciation to Dr. Ko Higashitani for his

valuable insights.

I am grateful to the National Science Foundation’s Engineering Research Center for

Particle Science and Technology for financially supporting this research (Grant EEC-94-02989).

To Gary Schieffele, Gill Brubaker, and all other ERC staff, faculty, and administrators, I extend

my hearty thanks for making my time there productive.

Colleagues and friends who have contributed to this research through critical discussions

as well as friendship include Scott Brown, Vijay Krishna, Madhavan Esayanur, Rhye Hamey,

Marie Kissinger, Monica James, Dushyant Shekhawat, Suresh Yeruva, Kalyan Gokhale, Amit

Singh, Debamitra Duta, Stephen Tedeschi, Sejin Kim, Takgeun Oh, Sangyup Kim, Won-Seop

Choi, Seung-Mahn Lee, Kyo-Se Choi, Suho Jung, and Inkuk Jun. I also thank Bryce Devine and

Bryan Op’t Holt for training me how to use modeling tools.

Page 5: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

5

I have been blessed with Father Sangsun Park in Gainesville Korean Catholic Church who

helps me have peace in mind, and blessed with my children, Minseok and Minji, who encourage

me to overcome obstacles and motivate me to try my best in life. In addition, I owe particular

debts to my parents and my parents-in-law for their strong confidence in my family.

Finally, I am always grateful to my wife, Seongah, for her patience and support in spite of

all ups and downs during my study. This work would not have been possible without her.

Page 6: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

6

TABLE OF CONTENTS page

ACKNOWLEDGMENTS ...............................................................................................................4

LIST OF TABLES...........................................................................................................................8

LIST OF FIGURES .........................................................................................................................9

ABSTRACT...................................................................................................................................13

CHAPTER

1 INTRODUCTION ..................................................................................................................15

2 LITERATURE REVIEW .......................................................................................................21

Shallow Trench Isolation (STI) Structure and Selectivity of Slurry ......................................21 Influence of Selectivity on Global Planarization in STI CMP Process ..................................22 Nanotopography .....................................................................................................................24 Surfactant Mediated Lubrication Effects................................................................................24 Surface Chemical Characteristics of SiO2 and Si3N4 Surfaces in Aqueous Solution.............25 Surfactants Adsorption on Silicon Nitride and Lubrication Effect ........................................26 Mixed Surfactants System ......................................................................................................27 Research Approach.................................................................................................................28

3 CMP CHARACTERISTICS OF SILICA AND SILICON NITRIDE...................................37

Experimental...........................................................................................................................38 Relationship between Material Removal Rate (MRR) and Young’s Modulus ......................39 Role of Electrostatic Interactions on MRR.............................................................................41

Effect of pH ....................................................................................................................42 Effect of Salt Addition ...................................................................................................45

Parameters Affecting Surface Finish in STI CMP .................................................................48 Salt Mediated Lubrication ......................................................................................................51

4 ROLE OF SURFACTANTS IN DEVLOPING SELECTIVE PASSIVATION LAYER IN CMP...................................................................................................................................72

High Selectivity Slurry Using Surfactants..............................................................................73 Surfactant Mediated Boundary Layer Lubrication for Selective Polishing............................75 Optimization of High Selectivity Slurry.................................................................................76

5 ADSORPTION STUDY OF SODIUM DODECYL SULFATE ON SILICA ......................86

Adsorption Behavior of SDS on Silica...................................................................................87 Structure of Adsorbed SDS Molecules...................................................................................89

Page 7: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

7

6 APPLICATION OF DENSITY FUNTIONAL THEORY BASED MODELING FOR SURFACTANT ADSORPTION STUDY ...........................................................................100

Methodologies ......................................................................................................................101 Structures and Resources......................................................................................................104 Results and Discussion .........................................................................................................106

SDS Adsorption on Silica at, below, and above the Isoelectric Point (IEP) .................107 SDS Adsorption on Silicon Nitride at IEP ....................................................................108 TX-100 Adsorption on Silica at IEP .............................................................................109

7 CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK......................................120

Conclusions...........................................................................................................................120 Suggestions for Future Work................................................................................................122

LIST OF REFERENCES.............................................................................................................125

BIOGRAPHICAL SKETCH .......................................................................................................133

Page 8: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

8

LIST OF TABLES

Table page 1-1 A Product Generations and Chip Size Model Technology Trend Targets—Near-term

Years ..................................................................................................................................17

3-1 Young’s modulus, hardness measured by nanoindentation method, material removal rate (MRR), ratio of MRR (CMP pressure of 7 psi), and ratio of Young’s modulus for silica and silicon nitride................................................................................................54

6-1 Adsorption energy (kcal/mol) calculated by density functional theory (DFT) based method (B3LYP) using 6-31G* basis set. .......................................................................111

6-2 Adsorption free energy (kcal/mol) of SDS on silica calculated from adsorption density data in Ch. 5 at different pH and two different added concentrations (1.6mM and 16mM). .....................................................................................................................112

Page 9: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

9

LIST OF FIGURES

Figure page 1-1. Schematic representation of chemical mechanical polishing (CMP) process. .................18

1-2. Moore's Law Means More Performance............................................................................19

1-3. Multilevel metallization, cross section with silica dielectric and aluminum metallization.......................................................................................................................20

2-1. Schematic shallow isolation structure................................................................................29

2-2. Nanotopography (a) Top view and (b) cross-section graph of substrate nanotopography..................................................................................................................30

2-3. In-situ friction force and material removal rate responses of the baseline slurries (12 wt%, 0.2 mm primary particle size) and the slurries containing C12TAB, C10TAB and C8TAB surfactants at 32, 68 and 140 mM concentrations in the presence of 0.6 M NaCl at pH 10.5. ................................................................................................................31

2-4. Lateral force as a function of loading force in the presence of surfactant [22]. ................32

2-5. Zeta potential behavior of silica, silicon nitride, cerium oxide (ceria), and polishing pad (polyurethane) with respect to the pH . .......................................................................33

2-6. Maximum surface concentration of benzoic acid (●) and pyridine (□) obtained by fitting the adsorption data to a Langmuir-Freundlich equation. ........................................34

2-7. Friction coefficient of silicon nitride ceramic as a function of load in pure water (○) and silane aqueous solution (●) .........................................................................................35

2-8. The mechanism of high-ionic-strength slurry stabilization by the synergistic mixture of anionic and nonionic surfactants ...................................................................................36

3-1. Variations of mateiral removal rate (MRR) for silica and silicon nitride substrate as a function of applied pressure by using undiluted (30 wt%) colloidal silica slurry at pH 10.4.....................................................................................................................................55

3-2. Variations of MRR of silica and silicon nitride substrate and calculated electrostatic force between two abrasives as a function of pH of the diluted (12 wt%) colloidal silica-based slurry (Klebosol 1501-50)..............................................................................56

3-3. Particle size distributions of colloidal silica slurry at two different pH conditions...........57

3-4. Zeta potential of colloidal silica slurry and electrostatic force between silica abrasive particles. .............................................................................................................................58

Page 10: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

10

3-5. Variations of MRR and calculated electrostatic force between two abrasives as a function of slurry NaCl salt concentrations in the slurry at pH 10.4. ................................59

3-6. Particle size distributions of colloidal silica slurry (Klebosol 1501-50, 12 wt%) as a function of salt concentrations at pH 10.4. ........................................................................60

3-7. Surface roughness of silica and silicon nitride substrate after CMP as a function of added salt (NaCl) concentration at pH 10.4.......................................................................61

3-8. Material removal rate of silica and silicon nitride as a function of repulsive electrostatic force between silica abrasives. ......................................................................62

3-9. Surface roughness of silica and silicon nitride substrates after CMP as a function of slurry pH. ...........................................................................................................................63

3-10. Surface morphologies and profiles of substrates from two pH conditions........................64

3-11. Material thickness change of silica and silicon nitride substrates as a function of immersed time in pH 13 NaOH solution. ..........................................................................65

3-12. Surface morphologies and profiles of substrates before and after etching in pH 13 NaOH solutions..................................................................................................................66

3-13. Etch pits formed on (a) silica and (b) silicon nitride substrate immersed in 0.1 M (pH 13) NaOH solution for 12 days..........................................................................................67

3-14. Lateral force of a 6.8 μm silica particle interacting with a silica substrate in pure water and CsCl, NaCl, and LiCl solutions of 1 M.............................................................68

3-15. Schematic representation of the hypothetical frictional mechanisms................................69

3-16. Particle size distributions of colloidal silica slurry (Fuso PL-7) without salt and with 1 M LiCl and 1 M CsCl. ....................................................................................................70

3-17. Material removal rate of silica substrates by CMP using diluted (9.6 wt%) colloidal silica slurries (PL-7) without salt and with 1 M LiCl and 1 M CsCl as a function of applied polishing pressure..................................................................................................71

4-1. Influence of SDS addition on CMP performances. ...........................................................79

4-2. Surface finish of silica and silicon nitride substrates processed with standard and high selectivity slurry.........................................................................................................80

4-3. Variation of zeta potential of silica and silicon nitride substrate and adsorption density of 16mM SDS on silica and silicon nitride powder measured by total organic carbon (TOC). ....................................................................................................................81

Page 11: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

11

4-4. Variation of MRR and accompanying selectivity of Klebosol slurry (12 wt%) as a function of added SDS concentration at pH 2. ..................................................................82

4-5. Adsorption density of SDS on 12 wt% Klebosol slurry with 16 mM SDS as a function of pH. ...................................................................................................................83

4-6. Effect of alkyl chain length of sodium alkyl sulfate on MRR and selectivity at pH 2. .....84

4-7. MRR and selectivity obtained by slurries with various surfactant and surfactant mixtures at pH 2.................................................................................................................85

5-1. Adsorption isotherm of SDS on colloidal silica (Klebosol 1501-50, 12 wt%) at pH 10.4.....................................................................................................................................93

5-2. Adsorption density of SDS on colloidal silica (12 wt% Klebosol 1501-50) at SDS concentration of 1.6 mM and 16 mM and zeta potential as a function of pH. ..................94

5-3. Zeta potential of Klebosol slurry as a function of SDS concentration at pH 10.4.............95

5-4. Pictorial depictions of the possible surfactant aggregates films at concentrations corresponding to I-IV in Figure 5-3...................................................................................96

5-5. Adsorption characteristics of SDS on Klebosol silica slurry and zeta potential as a function of concentration of SDS at pH 10.4.....................................................................97

5-6. FTIR/ATR Spectra of SDS solution at 1, 2.5, 5 and 10 mM bulk concentration in the CH2 stretching region (2921, 2924) measured at pH 10.4 using Si ATR crystal. .............98

5-7. Particle size distribution of Geltech SiO2 at pH 2 with and without 16 mM SDS 12 hours after pH change. .......................................................................................................99

6-1. Optimized (a) Si(OH)4, (b) Si(NH2)4, (c) Sodiumdodecyl sulfate (SDS), and (d) Triton X-100 (TX-100) structure using B3LYP method and 6-31G* basis set...............113

6-2. Optimized SiOH4 and DS- complex structure using B3LYP method and 6-31G* basis set. ....................................................................................................................................114

6-3. Optimized SiOH5+ and DS- complex structure using B3LYP method and 6-31G*

basis set. ...........................................................................................................................115

6-4. Sturcture of SiO4H3- and DS- complex. Optimization is not complete, since two

molecules are being separated to decrease energy...........................................................116

6-5. Optimized SiO4H3-, Na+, and DS- complex structure using B3LYP method and 6-

31G* basis set. .................................................................................................................117

6-6. Optimized Si(NH2)4 and DS- complex structure using B3LYP method and 6-31G* basis set. ...........................................................................................................................118

Page 12: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

12

6-7. Optimized SiOH4 and TX-100 complex structure using B3LYP method and 6-31G* basis set. ...........................................................................................................................119

Page 13: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

13

Abstract of Dissertation Presented to the Graduate School of the University of Florida in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy

SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY

By

Kyoung-Ho Bu

May 2007

Chair: Brij M. Moudgil Major: Materials Science and Engineering

Chemical mechanical polishing (CMP) is an indispensable technique in the

microelectronics industry to achieve planarization and patterning of metal and dielectric layers.

Device fabrication using high density and small pattern size requires precise control of CMP

slurry properties.

In this study, the performance of a colloidal silica CMP slurry for silica/silicon nitride,

which consists of the shallow trench isolation (STI) structures, was investigated. Factors

determing material removal rate and surface finish were examined. It was found that electrostatic

interactions can have significant effects on CMP performance. Emphasis was placed on selective

removal of material. More than 10-fold increase in selectivity over conventional colloidal silica

slurry was achieved with the addition of sodium dodecyl sulfate (SDS), an anionic surfactant.

Adsorption characteristics of SDS on silica and silicon nitride were measured as a function of

slurry pH and surfactant concentration. It was determined that the preferential adsorption of SDS

on silicon nitride by electrostatic attraction results in the formation of a material-selective self-

assembled passivation (boundary lubrication) layer leading to selective polishing. It was found

that the adsorption density of surfactant plays a dominant role in determining selectivity.

Page 14: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

14

Accordingly, material-targeted boundary layer lubrication concept may be used to develop

selective CMP polishing slurries.

A theoretical approach based on density function theory was attempted to model various

aspects of surfactant adsorption. Through this approach, it was possible to predict adsorption

behavior and related thermodynamic properties to assist selection of passivating molecules.

Page 15: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

15

CHAPTER 1 INTRODUCTION

Chemical mechanical polishing (CMP) is the planarization technique predominantly used

for the fabrication of multilayer devices. Main components for CMP process include the

substrate to be polished, the slurry that provides the chemistry and abrasives for mechanical

removal-and the polishing pad. A schematic of CMP system is shown in Figure 1-1. Due to the

demand for the faster and smaller devices, the number of devices (density) on a single wafer is

expected to grow constantly as depicted in Figure 1-2. Accordingly, the size of components of a

device is expected to become smaller as listed in Table 1-1. Hence the requirements for large

scale integration are becoming more challenging.

Current semiconductor devices are composed of multilayers as shown in Figure 1-3. Due

to the planarity requirement for lithography processes, further processing is not possible if the

required planarity is not achieved. In addition, the standard for global planarization is becoming

more demanding due to the high degree of device integration.

Among the various structures requiring CMP, shallow trench isolation (STI) is one of the

most challenging, due to its large variation in pattern density. There are a number of possible

approaches to accomplish global planarization in STI CMP process. Among these, the

development of high selectivity slurries has been gaining more significance in order to

accomplish a one-step CMP process for global planarization. State of the art, high selectivity

ceria based slurry has several drawbacks such as problems with coagulation and high defectivity,

whereas conventional silica based slurries are known to be free of those problems, but they

exhibit low polishing selectivity between silicon nitride and silica substrates. In this dissertation,

silica based slurries were modified to achieve the targeted selectivity of 15 or higher.

Page 16: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

16

The overall objective of the proposed investigation is to improve the selectivity (ratio of

material removal rate of silica to silicon nitride) of the STI CMP slurry. Specific objective is to

differentially modify surface states of silicon nitride and silica with surfactant or polymer

adsorption, thereby selectively minimizing silicon nitride polishing, and thus leading to enhanced

global planarization in STI CMP process. A synopsis of the various research tasks constituting

this study is organized as follows.

Chapter 2 reviews the literature on the STI CMP process and slurry selectivity. Different

defects, hampering device performance will be addressed. The selectivity of the CMP slurry will

be defined and its effect on global planarization will be discussed. Finally, strategies to increase

the selectivity will be suggested. Chapter 3 covers the CMP characteristics of silica and silicon

nitride substrates by colloidal silica slurry with respect to the material removal rate (MRR) and

surface finish. Variables affecting the polishing process have been studied with special emphasis

on electrostatic interactions. Chapter 4 presents the methodologies to increase the selectivity of

the slurry. Specific mechanisms for observed results will be discussed. Chapter 5 discusses the

adsorption behavior of sodium dodecyl sulfate (SDS) on silica substrates, since it was found that

SDS adsorption on silica abrasive particles determines the necessary dosage of surfactant to

fabricate high selectivity slurries. Chapter 6 describes the modeling efforts to develop

methodologies based on density functional theory to predict optimal conditions for selective

surfactant coating. Chapter 7 summarizes the conclusions of this study and suggests future work.

Page 17: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

17

Table 1-1 A Product Generations and Chip Size Model Technology Trend Targets—Near-term

Years [1]. Year of Production 2005 2006 2007 2008 2009 2010 2011 2012 2013

DRAM ½ Pitch (nm) (contacted) 80 70 65 57 50 45 40 36 32

MPU/ASIC Metal 1 (M1) ½ Pitch (nm) 90 78 68 59 52 45 40 36 32

MPU Printed Gate Length (nm) 54 48 42 38 34 30 27 24 21

MPU Physical Gate Length (nm) 32 28 25 23 20 18 16 14 13

ASIC/Low Operating Power Printed Gate Length (nm) 76 64 54 48 42 38 34 30 27

ASIC/Low Operating Power Physical Gate Length (nm) 45 38 32 28 25 23 20 18 16

Flash ½ Pitch (nm) (un-contacted Poly)(f) 76 64 57 51 45 40 36 32 28

Page 18: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

18

Figure 1-1. Schematic representation of chemical mechanical polishing (CMP) process. (a) Side

view; (b) Top view.

(b) (a)

Slurry Feed Holder

Substrate

Platen

Polishing Pad

HolderSlurry Feed

Page 19: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

19

Figure 1-2. Moore's Law Means More Performance. Processing power, measured in millions of

instructions per second (MIPS), has steadily risen because of increased transistor counts [2].

Page 20: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

20

Figure 1-3. Multilevel metallization, cross section with silica dielectric and aluminum metallization [3].

Page 21: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

21

CHAPTER 2 LITERATURE REVIEW

Shallow Trench Isolation (STI) Structure and Selectivity of Slurry

Chemical mechanical polishing or planarization (CMP) is the key technology for shallow

trench isolation (STI) process. STI process can reduce the required area for the device isolation

and give better planarity relative to the local oxidation of silicon (LOCOS) process. Therefore,

existing sub-0.13 μm technologies device isolation techniques strongly depend on the STI CMP

process [4-7].

There are several drawbacks such as dishing of silica, erosion of silicon nitride and failure

to clear oxide that hamper global planarization in CMP process [8]. Typically the thickness

uniformity across the substrate (usually called within-substrate non-uniformity, or WIWNU)

must be below 3%, and dishing must typically be less than 20~50 nm. To minimize such defects,

current STI CMP process is comprised of multi-steps [9] or raw structure modifications such as

reverse mask, dummy active area, and additional active area [10]. For better productivity and

process simplicity, a minimum number of process steps are highly desired and accordingly,

approaches for “high selectivity single-step” slurry designs are being widely investigated [11-13].

Usually selectivity represents the ratio of material removal rate (MRR) of silica to silicon nitride:

1)-(2nitrideSilionofrateremovalMaterial

SilicaofrateremovalMaterialySelectivit =

In general, conventional silica abrasive based STI CMP slurry exhibits selectivity in the

range of 3 to 4 [14]. According to the result reported by J. Schlueter, erosion of silicon nitride

could be minimized to less than 100 Å using ceria based high selectivity slurry in a multi-step

STI CMP [15]. Besides the influence on planarization, high selectivity provides enhanced

endpoint detection capability. Generally, if oxide to nitride polishing selectivity is greater than

Page 22: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

22

15, monitoring substrate carrier motor current can be utilized for endpoint detection [11].

Therefore, research on improving selectivity and understanding the polishing mechanisms to

achieve global planarization are needed. In this study, systematic approaches and strategies to

improve selectivity of the STI CMP slurry for single-step CMP process were investigated.

In the following sections, the detailed influences of selectivity on global planarization

will be introduced, and issues of nanotopography that justify a strong need for high selective STI

CMP process will be outlined. Next, a brief review of the polishing passivation/inhibition

mechanism (i.e., surfactant mediated lubrication effects) will be provided. Surface chemical

characteristics of silica and silicon nitridewill be reviewed, followed by examples of specifically

adsorbing surfactants on silicon nitride surface. As an alternative to inhibit polishing of silicon

nitride by surfactants, silane additives to form passivation layer on silicon nitride will be

introduced.

Influence of Selectivity on Global Planarization in STI CMP Process

As previously mentioned, several obstacles exist inhibiting global planarization in STI

CMP. Figure 2-1 shows a schematic of a typical STI structure. It consists of a silicon device, a

silicon nitride mask, and a silica insulating layer inside of the trenches. In the ideal CMP process,

the oxide should be removed completely in all active regions, leaving it only in the trench

regions (Figure 2-1 (b)) without eroding silicon nitride. In reality, there are three failure modes

such as failure to clear oxide, excessive removal of nitride, and excessive removal of oxide [8].

The former is primarily an end-point detection issue, whereas the other two mechanisms are

closely related to the pattern density of the device, selectivity of slurry, pad stiffness, imposing

pressure, etc. [12]. To minimize these barriers, several approaches have been evaluated. One

method is to use a stiffer pad and lower selectivity slurry [5], and the other is to use a softer pad

and higher selectivity slurry [16]. When a stiffer pad is used, which does not bend in the applied

Page 23: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

23

pressure range, the highest portion of the surface will start to be polished ultimately resulting in

global planarization. However, there is also a possibility of poor surface finish and wafer

breakage. When a softer pad is used, which has a greater flexibility, all the structures on

substrate will be in contact to pad, and hence a high selectivity slurry will be required not to

preferentially polish the unwanted structure. In this case, the risk of poor surface finish and

substrate breakage will be reduced.

Current high selectivity slurries in STI CMP usually contain ceria abrasives showing

higher material removal rate for silica than silicon nitride [16]. In general, for higher pattern

densities of which the area of silica isolation layer is not large, dishing effect decreases, since the

pad bending is limited. For lower pattern densities, dishing effect increases because the pad

bending is high [17]. Therefore in each case, the pad materials and operating pressure should be

chosen appropriately.

Kim et al. investigated the influence of slurry selectivity of the slurry on erosion and

planarity by modeling. It was predicted that above 30% active pattern density, high selectivity

slurries show good planarity [18]. In these cases, planarity is defined as the difference of height

between the highest region and the lowest region on a substrate. Considering that higher pattern

densities of the device will be required with decreasing device size in the future, a systematic

research for a high selectivity slurry will be essential to meet these goals.

In general, current STI CMP processes use silica abrasives that show low selectivity (about

3 - 4) [14]. W. G. America investigated the influence of selectivity on material removal rate of

silica and silicon nitride using silica and ceria abrasives. In this case, the material removal rate of

silica and silicon nitride was determined to be about 2700 Å/min and 700 Å/min, respectively

[19]. Recently, ceria abrasives have shown higher selectivity (more than 5), and are being

Page 24: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

24

investigated for further enhancement. According to W. G. America, material removal rate of

silica using ceria abrasives was more than 5700 Å/min as compared to 800 Å/min for silicon

nitride [19]. However in ceria CMP, the pH at which maximum polishing rate and maximum

selectivity are achieved is about 8, which also is the isoelectric point (IEP) of ceria. This results

in coagulation of ceria yielding poor surface morphologies with scratches and higher roughness

[13]. Recently, it has been reported that by decreasing the size of ceria abrasive particles, the

number of scratches can be decreased significantly [20].

Nanotopography

An emerging issue impairing global planarization in STI CMP is nanotopography. This

phenomenon is becoming a strong driving force for developing high selectivity slurries.

Nanotopography is a term used to describe relatively gentle (10-100 nm) surface height

variations occurring over lateral distances of 1-10 mm on unpatterned silicon substrates (Figure

2-2). Boning et al. have investigated this issue by modeling and verified it by experiments, and

have suggested that due to the height variation of blanket wafer, several defect mechanisms

come into play such as failure to clear oxide and excess nitride thinning (erosion). It has been

commonly believed that stiffer pad would yield acceptable planarization [5]. On the contrary, it

has been shown that softer pad and lower pressure is more effective in minimizing such defects

[5].

With respect to this phenomena, if the selectivity of the slurry is not high enough and

endpoint detection is not accurate, accompanying erosion will be unavoidable. Silicon nitride

erosion can be minimized if only additional protective layers exist on silicon nitride surface.

Surfactant Mediated Lubrication Effects

As a protective mechanism from polishing for silicon nitride, one of the approaches is to

incorporate surfactant mediated lubrication effects. Basim et al. have shown that the addition of

Page 25: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

25

long chain cationic surfactant (e.g. C12TAB) produces an enhanced defect-free surface

morphology but the polishing rate was extremely small due to the lubrication effect of surfactant

(Figure 2-3.) [21]. Although this research was focused on dispersion of abrasive particles, it

implied that long chain surfactant can act as an anti-polishing agent.

Vakarelski et al. showed that the primary mechanism of lubrication is the formation of an

intervening surfactant aggregate film on solid-liquid interface largely by electrostatic interactions

[22]. In addition, the decrease in frictional force depends on the concentration of surfactant.

After the concentration reaches critical micelle concentration (CMC), there was no further

decrease in lateral (frictional) force. The effect of surfactant concentration on the lateral force is

illustrated in Figure 2-4.

Surface Chemical Characteristics of SiO2 and Si3N4 Surfaces in Aqueous Solution

Understanding the surface chemistry of substrates is the first step to implement the above

approach to create a selective passivation/lubrication layer. It is well known that silicon nitride

forms the same type of surface hydroxyl layer as silica in an aqueous solution. However, there is

a difference in the surface group compositions. Figure 2-5 illustrates the zeta potential variation

with respect to pH. Unlike silica (IEP of 2.2), silicon nitride exhibits an IEP of about 5.8. This

difference is explained on the basis of relative number of silanol (Si-OH) and amine (Si2-NH)

groups on the silicon nitride surface as compared to only silanol groups on silica surface [23].

The silanol groups are acidic in nature and thus result in a lower IEP, while the presence of

amine groups results in a higher IEP. In the case of silicon nitride powder with an IEP of pH 6,

the ratio of nitrogen to oxygen was calculated to be approximately 0.2, and it was nearly 1 for

powders with an IEP of pH 7.9 [23].

Sonnefeld et al. reported, based on potentiometric titration measurements, that the surface

site densities of amine group (Si2NH) and that of silanol group (SiOH) are 0.56 /nm2 and 1.83

Page 26: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

26

/nm2, respectively on the silicon nitride surface [24]. Density of silanol groups on silica surface

was estimated to be 0.74 /nm2 [25]. From these values, converted area of amine and silanol

groups for molecular adsorption is 1.79 nm2 and 0.546 nm2, respectively on the silicon nitride

surface, and area of silanol gropup on silica was 1.35 nm2.

Surfactants Adsorption on Silicon Nitride and Lubrication Effect

There have been many reports on stabilization of silicon nitride powders using polymeric

dispersants [26-30]. Malghan et al. investigated the dispersion behavior of silicon nitride powder

using both cationic - Betz 1190 (quaternized polyamine epoxychlorohydrin) - and anionic -

Darvan C (ammonium poymethacrylate) - polymers [29]. In the case of cationic polyelectrolyte

(CPE), there was strong electrostatic attraction between CPE and silicon nitride powder at pH 9

leading to stable dispersion, while in the case of anionic polyelectrolyte (APE), the adsorption

was very restricted due to the similar surface charge, consequently, small adsorption occurred

possibly due to the hydrogen bonding. According to Hackley et al., anionic poly acrylic acid

(PAA) adsorption on silicon nitride surface decreased from 100% at pH 3 to around 25% at pH

10, however, stable dispersion was achieved due to depletion forces in the presence of PAA [26].

Besides the sign of surface charge, hydrogen bonding plays an important role in adsorption

of organic molecules on silicon nitride. Bergström et al. investigated the adsorption behavior of

various organic probe molecules in cyclohexane [31]. They showed that benzoic acid and benzyl

amine prefer to adsorb on the basic amine (Si2NH) groups via hydrogen bonding (N-H) (Figure

2-6). To accomplish selective adsorption of surfactants or polymers on silicon nitride surface,

anionic surfactants should be investigated first considering that nitride shows higher negative

zeta potential at pH 10.5 for current CMP conditions. Philipossian et al. showed that by applying

anionic poly-carboxylate, the selectivity increased from 5 to 100 [32]. They used ceria abrasives

for silica polishing at pH 8. According to their results, most of anionic surfactant adsorbed on

Page 27: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

27

silicon nitride with some amount of polymer adsorption on silica and ceria abrasive particles,

resulting in overall decreased MRR from 500 to 100 (a.u.).

Hibi et al. investigated the lubrication effect of silane coupling agents (3-(2-

aminoethylaminopropyl) dimethoxymethylsilane) on silicon nitride and alumina ceramics

(Figure 2-7) [33]. They reported that amino-containing silane coupling agents formed the cross-

linked polysiloxane by hydrolysis and dehydrative condensation, which was effective in reducing

both friction and wear of silicon nitride. In other words, the additives reduced the wear of silicon

nitride as a result of inhibition of silicon nitride reaction with water. In this case, the silane

agents reacted with the oxide (silanol group) on silicon nitride surface.

As mentioned above, since the density of silanol groups on silica and silicon nitride surface

was estimated to be 0.74 /nm2 and 1.83 /nm2, respectively [24, 25], the extent of the passivation

on silicon nitride and silica is expected to be different.

Mixed Surfactants System

Palla et al. investigated the use of mixed surfactants to disperse the alumina abrasive

particles in CMP. They reported that by applying anionic surfactant, sodium dodecyl sulfate

(SDS), mixed with various nonionic surfactants, the dispersion stability was highly improved

[34]. The schematic of the slurry stabilization of alumina abrasives is shown in Figure 2-8. In

this scheme, adsorption was attributed to strong adsorption of ionic surfactants on abrasive

particles, and association of nonionic surfactants with ionic surfactants via hydrocarbon chain

interactions (attractive hydrophobic forces). Alumina is known to have Lewis active site similar

to silicon nitride, hence, it can be envisioned that mixed surfactants concept can be applied to

silicon nitride-silica system. However, under the normal CMP pH conditions, zeta potential of

silicon nitride is negative, indicating the greater significance of electrostatic interaction.

Page 28: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

28

Research Approach

Commercial ceria abrasive STI CMP slurries with selectivity of about 5 are known to

result in high defectivity and post-CMP cleaning problems, while colloidal silica slurries has a

lower selectivity of 3 to 4, although they exhibit acceptable defectivity. Therefore in the

proposed research, surfactants that selectively adsorb on silicon nitride will be investigated and

methods to inhibit polishing and the mechanisms will be studied to improve global planarity.

One of the major challenges is the fact that both materials have silanol group on their

surfaces in water and show negative zeta potential at the conventional CMP pH of 10.4. The

ideal solution is to find a surfactant, which has selective affinity only to silicon nitride. To

achieve this goal, several anionic surfactants and mixed surfactant systems will be investigated in

terms of adsorption with respect to pH and added surfactant concentration.

In using silica abrasives under current CMP conditions, anionic surfactants adsorption on

abrasive particles will be largely opposed due to the similar (negative) charge of the adsorbate

and adsorbent. Therefore, to increase the amount of surfactant adsorption on silicon nitride,

readjustment of CMP process pH to a lower value may be required. Since pH plays a dominant

role in determining surfactant adsorption through electrostatic interactions, detailed investigation

of the adsorption behavior of the anionic surfactant as a function of pH will be required to

achieve optimal surfactant adsorption.

Page 29: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

29

Figure 2-1. Schematic shallow isolation structure: (a) Initial structure before CMP: typical trench isolation structure used to isolate “active” regions on a substrate where devices will be built. The nitride layer has been patterned and a shallow trench etched into the silicon. An oxide has then been deposited into the trench, which also results in overburden oxide above the nitride active areas. (b) ideal result after CMP: the oxide is removed completely in all active regions, leaving oxide only in the trench regions. Three key failure mechanisms may arise: (c) excessive removal (erosion) of nitride in active areas, (d) excess removal of oxide (dishing) within the trench, and (e) failure to clear oxide from nitride active areas [8].

SiO2

(d) Dishing (c) Erosion

(e) Failure to clear oxide

(a) Before CMP

(b) Ideal result after CMP

Si3N4

Si

Page 30: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

30

Figure 2-2. Nanotopography (a) Top view and (b) cross-section graph of substrate nanotopography. Dotted line in (a) shows path of scan. The x axis in (b) indicates the distance along the scan path in (a), moving from left to right [8].

WA

FER

HEI

GH

T (n

m)

100

80

60

40

20

0

-20

-40

-60

-80

-100

Nanotopography Length

100 nm

-100 nm

100 nm

-100 nm

100 nm

-100 nm

(a) (b)

Page 31: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

31

Figure 2-3. In-situ friction force and material removal rate responses of the baseline slurries (12 wt%, 0.2 mm primary particle size) and the slurries containing C12TAB, C10TAB and C8TAB surfactants at 32, 68 and 140 mM concentrations in the presence of 0.6 M NaCl at pH 10.5. (Striped bars represent the Friction Force responses and the solid bars represent the Removal Rate responses) [21].

Page 32: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

32

Figure 2-4. Lateral force as a function of loading force in the presence of surfactant [22].

0

50

100

150

200

250

300

0 500 1000 1500

L o a ding F o rc e (nN )

Late

ral F

orce

(nN

)

Pure Water 1mM C12TAB 8mM C12TAB 16mM C12TAB 32mM C12TAB

Page 33: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

33

Figure 2-5. Zeta potential behavior of silica, silicon nitride, cerium oxide (ceria), and polishing pad (polyurethane) with respect to the pH [32].

Page 34: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

34

Figure 2-6. Maximum surface concentration of benzoic acid (●) and pyridine (□) obtained by fitting the adsorption data to a Langmuir-Freundlich equation [31].

0 10 20 30 40 50

Max

. sur

face

con

cent

ratio

n (μ

mol

/m2 )

3.5

Amount amino groups (%)

3.0

2.5

2.0

1.50 10 20 30 40 50

Max

. sur

face

con

cent

ratio

n (μ

mol

/m2 )

3.5

Amount amino groups (%)

3.0

2.5

2.0

1.5

3.5

Amount amino groups (%)

3.0

2.5

2.0

1.5

Page 35: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

35

Figure 2-7. Friction coefficient of silicon nitride ceramic as a function of load in pure water (○) and silane aqueous solution (●) [33].

Page 36: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

36

Figure 2-8. The mechanism of high-ionic-strength slurry stabilization by the synergistic mixture of anionic and nonionic surfactants [34].

Page 37: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

37

CHAPTER 3 CMP CHARACTERISTICS OF SILICA AND SILICON NITRIDE

The Shallow trench isolation (STI) chemical mechanical polishing (CMP) process involves

polishing of silica and silicon nitride layer. Therefore, the characteristics of the both materials are

very important for process optimization and overall STI CMP process performance. Besides,

silicon nitride is widely used for various applications such as giant magnetoresistance (GMR)

and ceramic ball bearings making the research on the CMP characteristics of silicon nitride more

significant [35, 36].

There are several abrasives used in STI CMP slurries according to its specific purposes [19,

36, 37]. Among them, colloidal silica is the traditional material, which has long been used for

various applications, and its dispersion stability towards various electrolytes is well documented

[38-41]. A unique property is that it shows high dispersion stability around its isoelectric point

(IEP, pH 2 ~ 4), unlike other materials. It has long been believed that hydration force due to

modified water structure at the silica surface or silanol (SiOH) groups give rise to a repulsive

forces, which is responsible for the observed phenomena [39, 42]. Another explanation is that the

formation of a surface gel layer or short polymer-like hairs protruding from the silica surface can

give rise to steric repulsion [43, 44]. In intermediate pH range, silicic acid chains (-Si(OH)2-O-

Si(OH)2-OH) or siloxane bonds (Si-O-Si) are reported to form silica gel relatively easily by

reaction between acidic ionized silanol (SiO-) and neutral silanol (SiOH). At a higher than pH 10,

colloidal silica shows stable dispersion again through electrostatic repulsion between almost

completely ionized silanol groups. As a result, colloidal silica suspensions are stored and used

usually under high pH conditions. When a lower pH application is required, the pH transition is

performed in a very short time period to avoid gelation.

Page 38: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

38

Silica is a promising candidate for the STI CMP due to its high surface quality as

compared to other materials. However, the basic CMP characteristics for silica and silicon nitride,

which consist of the STI structure, are not completely understood. In this chapter, CMP

characteristics of silicon and silicon nitride by colloidal silica abrasives will be discussed with an

emphasis on the electrostatic interactions encountered in the system.

Experimental

The CMP slurry used in this study was Klebosol 1501-50 from Rodel Co. The original

slurry of 30 wt% colloidal silica abrasives was diluted with nano-pure water to 12 wt%. The

slurry pH was measured to be 10.4 after dilution. HCl and KOH solutions were used for further

adjustment of the slurry pH. The study of lubrication by hydrated cations utilized PL-7 supplied

by Fuso Chemical Co., which is originally at 20 wt% colloidal silica abrasives. It was diluted

with nano pure water to 9.6 wt%, with a final slurry pH of 7.3. Salt concentration was controlled

to 1 M by adding the proper amount of 5 M salt solution to the slurry. Concentrated 5 M solution

was prepared with analytical grade LiCl and CsCl purchased from Fisher Scientific Co. Silica

and silicon nitride wafers were purchased from Silicon Quest International. Two μm thickness of

silica thin film was deposited on (100) Si substrate by plasma enhanced chemical vapor

deposition (PECVD) method using Tetra Ethyl Ortho Silicate (TEOS) as a source on (111) Si.

For the silicon niride wafers, 3000 Å thickness silicon nitride film was deposited on the 3000 Å

silica, which was used as a diffusion barrier on (100) Si by low-pressure chemical vapor

deposition (LPCVD) method using dichlorosilane (SiCl2) and ammonia (NH4) as source

materials. IC 1000/Suba IV stacked pads supplied by Rodel Inc. and TegraPol-35 with

TegraForce-5 from Struers Co. tabletop polisher were utilized for CMP purposes. The rotation

speed was controlled to 150 rpm both for the pad and the wafer. Material removal rate (MRR)

was measured using ellipsometry (Woollam EC110 Ellipsometer) by dividing the decrease in

Page 39: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

39

thickness by polishing time. In the present study, MRR reproducibility was within ± 5 %. Prior

to each polishing step, the pad underwent 30 seconds of conditioning with diamond conditioner.

The actual time for polishing was controlled to 30 seconds. Young’s modulus and hardness were

measured by Nanoindentation method using Hysitron Triboindenter purchased from Hysitron Co.

Digital Instruments Nanoscope III atomic force microscope was used for the measurement of

surface roughness of substrates after CMP.

Zeta potential of the slurry was measured by Acoustosizer purchased from Colloidal

Dynamics Co. A variation in the zeta potential values (20 mV) at pH 10.4 was observed for

different batches purchased from slurry supplier. A decrease in zeta potential was also observed

with aging time (10 mV upon 1 year aging). Accordingly, zeta potential values at the same pH

were found to be different depending on the batch and aging time. However for a given sample,

the reproducibility of measurement was found to be within ± 3 mV over a month period.

Particle size distribution was measured by Coulter particle size analyzer (Coulter

LS13320). After dissolution, the pictures of the substrate surface were taken by optical

microscopy (Olympus BX60).

Relationship between Material Removal Rate and Young’s Modulus

The MRR of silica and silicon nitride wafers as a function of polishing pressure is plotted

in Figure 3-1. In this experiment, original slurry (30wt% solids loading) was used without further

dilution. The MRR showed a linear relationship with polishing pressure, as predicted by the

empirical Preston equation [45]:

1)-(3ΔtΔsPKMRR p=

where, Kp is Preston coefficient, P is polishing pressure, and Δs is the relative travel between

glass surface and lap over in which the wear occurs (platen speed) during time interval Δt [45].

Page 40: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

40

The MRR of silicon nitride was determined to be lower than silica. In CMP of Si-based

materials such as silica and silicon nitride, it is well known that water plays a significant role,

because no material removal occurs in non aqueous medium. It is commonly believed that water

attacks and breaks the siloxane bonds by the following reaction:

2)-(3SiOHSiOHOHSiOSi 2 +=+−−

It has been reported that the hardness of silica decreases to around 50% of the original value in

aqueous systems [46, 47]. The above reaction is believed to be controlled by the diffusion of

water in silica, which in turn affects surface hardness.

There have been several attempts to explain MRR theoretically [45, 48]. One of them is

Cook’s model, assuming Hertzian penetration [45]:

3)-(3ΔtΔsP

E21MRR =

where, E is the Young’s modulus of the material. Considering that the modulus is the resistance

of the material to tensile or compressive deformation, above equation indicates that material with

high modulus should be harder to polish. A more elaborate model incorporating chemical effects

was proposed by Chi-Wen and co-workers [48]:

4)-(3ΔtΔsP)

E1

E1(CMRR

wa

+=

where, C is the coefficient accounting for chemical effect of a slurry and other properties of

CMP consumables, Ea and Ew are the Young’s modulus of abrasive particle and substrate,

respectively. Trends in experimental results with substrates of different moduli were in

aggrement with those predicted by Equation (3-4).

To evaluate the correlation between MRR and mechanical properties of substrate materials,

Young’s modulus and hardness of both substrates were measured by the nano-indentation

Page 41: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

41

method and are summarized in Table 3-1. The MRR and Young’s modulus ratio indicated a

correlation between MRR and mechanical properties of the material. However, according to this

explanation, silicon nitride cannot be polished by silica abrasive particles, since silicon nitride

has a higher hardness than silica, in contrast to experimental evidence. In reality, the formation

of a thin silica layer (around 1 nm) on the silicon nitride surface by spontaneous oxidation

represented by the equation below and is expected to influence the polishing characteristics of

silicon nitride [23, 31, 49]

5)-(332243 NH4SiO3OH6NSi +=+

It has been reported that the rate-limiting step for the above reaction is the breakage of Si-N

bonds [50], with relatively faster breakage of Si-O bonds due to diffusion of water. In other

words, the reaction of water with silicon nitride for breaking the Si-N bond is slower than water

diffusion. As a result, the thickness of the newly formed silica layer on silicon nitride will be

very thin compared to that of the silica substrate, thereby resulting in different MRR of the two

substrates. Theoretically, Young’s modulus reflects the bond strength of the material on an

atomic scale [51]. In other words, a higher modulus means stronger bonds, which will be harder

to break.

Role of Electrostatic Interactions on MRR

It has long been observed that MRR is dependent on the pH of the slurry in various

polishing processes including CMP. As was discussed by Choi and co-workers, electrostatic

interactions can influence the CMP performance. However, systematic approaches and

quantitative analysis to explain the effect and modulation have not been attempted until now.

Page 42: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

42

Effect of pH

One of the best ways to modulate the electrostatic interaction is to change pH of the slurry.

Colloidal silica slurry is the best candidate for this purpose, since it shows stable dispersion

throughout a wide pH range, if only the pH was adjusted just before polishing. To investigate the

effect of electrostatic interaction on CMP performance, the MRR for both substrates as a

function of slurry pH was measured and plotted in Figure 3-2. Particle size distribution at pH 2

and 10.4 in Figure 3-3 confirmed that there was no measurable coagulation of silica particles at

pH 2.

MRR as a function of pH reached a maximum as slurry pH is reduced. At high pH beyond

11, MRR steeply increased for silica and remained constant for silicon nitride. The CMP results

of silicon and silica as a function of pH were reported by several authors [52-54]. Choi et al.

attributed the increase in MRR at lower pH to the electrostatic attraction between the oppositely

charged silica substrate and silica abrasive particles, and a higher MRR at higher pH to increased

softening of silica induced by its high solubility at higher pH. According to their report, the

electrostatic force between silica particles and substrate showed a maximum around 0.4 mN/m

(force/radius of particle) at pH 10.4. The contact area of the CMP pad and the substrate was

reported to be around 1% due to the asperity characteristics of the pad materials employed in

their study at the same pH [55]. Assuming that half of the individual abrasive particle will be

embedded in the substrate surface and the other half of the particle will be captured by pad

asperities during the CMP process, the contact area will yield the number of particles in contact

with the substrate. If 1% of a 1 × 1 inch wafer is in contact with the abrasive particles, then there

will be approximately 109 particles of diameter of 90 nm in the system. The total electrostatic

force is calculated to be 18 mN. According to experiments in the present study, if one assumes

that there is no electrostatic force contribution at pH 3 (due to its nearly zero value of zeta

Page 43: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

43

potential), a pressure caused by repulsive force of 6.85 N on 1 × 1 inch wafer, is required to

make a difference in MRR. This is more than two orders of magnitude difference in electrostatic

force contribution between the abrasive and the wafer. It is, however, possible that induced

repulsion by electrostatic interactions may contribute to lubrication effects. According to Choi,

there was approximately 25% decrease of frictional force between colloidal silica abrasives and

the wafer when the slurry pH was increased from 2 to 10.4. Mahajan also reported that the

frictional force between pad and the wafer decreased at higher pH due to increased electrostatic

repulsion between them [56].

It is well known that in the case of boundary lubrication, friction follows the equation for

interfacial sliding, as proposed by Tabor et al.[57].

6)-(3ASF cfriction =

where, Ffriction is a frictional force, Sc is a critical shear stress that depends on the details of the

interfacial region, and A is the contact area. It is not clear which term is affected by the

electrostatic interaction for the current system. However, it seems reasonable that if electrostatic

repulsion between the abrasive and substrate is high, critical shear stress (Sc) will be reduced,

resulting in overall reduction in the frictional force. On the other hand, surface layer

characteristics can also change upon a shift in pH, resulting in changes in contact area (A)

between the pad and the substrate. Yeruva reported that there was no consistent evidence that the

Young’s modulus of the pad, which is directly related to the contact area, changes with solution

pH.

Recently, Taran et al. have reported that a lubrication effect between silica particles and the

substrate resulted in reduced lateral force at high pH above 9.6, using lateral force microscopy

[58]. Below pH 9.6, there was no noticeable change. They correlated their observations with

Page 44: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

44

solubility of silica and formation of surface gel layer, which is believed to form at high pH due to

high solubility [58]. It seems likely that the lubrication phenomena may play a role in explaining

low MRR at high pH, but it is not possible at present to explain high MRR below pH 8.6.

Another possibility is that the electrostatic forces between particles can change the number

of abrasive particles participating in the polishing process, depending upon their

dispersion/coagulation characteristics. It has been generally known that MRR is almost linearly

proportional to solids loading of the slurry [59, 60]. Zeta potential of the abrasive particle will

produce electrostatic repulsive forces that will resist the particles to come within a certain

distance of the substrate resulting in limited number of particles participating in polishing at a

certain pH. The repulsive force can be calculated using simplified Poisson-Boltzman equation

[61]

7)-(3D2oo e2R/F κκψπεε −=

where, F/R is the electrostatic force per particle radius, κ is the Debye-Huckel parameter, ψo is

surface potential, and D is the distance between particles which is assumed to be 1 nm. The

absolute force value can change as a function of distance, but the trend should be similar. Zeta

potential was assumed to be the same as the surface potential, since there were no specific

adsorbing ions in the slurry. Figure 3-4 shows the measured zeta potential of silica and the

corresponding electrostatic force between abrasive particles calculated from the potential as a

function of pH (also plotted in Figure 3-2). At pH around 3 (IEP of silica), the electrostatic force

leveled off and approached zero and MRR for silica also reached a maximum value at pH 3. In

the intermediate pH range (3 ~ 10), MRR and the electrostatic force were inversely proportional

to each other.

Page 45: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

45

At pH above 11, the MRR of silica showed a sudden increase, probably related to the

solubility of silica. However, the MRR of silicon nitride, which has a lower solubility than silica,

showed the same trend as electrostatic force. Overall, it appears that there exists an inverse

correlation between the MRR and repulsive electrostatic forces between the abrasive particles.

The zeta potential of the substrate and colloidal silica should be similar, since both

materials are amorphous silica, therefore it may be safe to assume that the calculated electrostatic

force also represents the trend in the force between abrasive particles and substrate. It is clear

that the electrostatic forces induced by zeta potential of various materials has a significant effect

on MRR in terms of (i) opposing force against polishing pressure or (ii) number of particles

participating in the CMP process.

Effect of Salt Addition

It is well known that various salts reduce the surface charge of the particles in a colloidal

system, decreasing the electrostatic repulsion and thereby promoting their coagulation by

attractive van der Waals interactions [38, 39]. The minimum concentration of salt causing

coagulation of particles is called the critical coagulation concentration (CCC). This phenomenon

can be utilized to modulate the electrostatic force in the CMP process. Among various salts,

monovalent ions are most suitable for this purpose in terms of controllability, since multivalent

ions have far lower CCC than monovalent ions. Allen and co-workers have reported that CCC of

NaCl for colloidal silica was around 0.4 M and that of CaCl2 was around 1 mM, at pH 9. CMP

was conducted as a function of NaCl concentration added to the slurry. The MRR for both

substrates and calculated electrostatic force between abrasive particles from zeta potential values

are plotted in Figure 3-5.

The first thing to be monitored is the coagulation of particles whenever salt is added into

slurry. Figure 3-6 shows the particle size distribution as a function of NaCl concentration. Below

Page 46: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

46

0.5 M NaCl, the particle size maintained a narrow mono size distribution. When the

concentration reached 0.5 M, gelation occurred and particle size distribution showed multiple

peaks. It is not clear from Figure 3-6 if there is coagulation, since the additional peak(s) from

coagulation are not noticeable due to the multiple peaks from gelation. It is very likely that there

is some degree of coagulation at that concentration. Gelation usually occurs at intermediate pH

and high salt concentration in a colloidal silica system, and it is different from coagulation.

Gelation is reversible, i.e. the dispersion stability can be restored simply by stirring or dilution,

but if the coagulation occurrs, it is not usually reversible. In gelation, silica particles form a

network by siloxane (Si-O-Si) bonds. In coagulation, they do not form any network, but they

simply collide with each other by Brownian motion leading to very strong attractive van der

Waals interactions. It is not known how gelation of abrasive particles affects the CMP

performance. A colloidal silica slurry adjusted to neutral pH and kept for some time to promote

geltation without any salt can be a good candidate to isolate such effects.

Below a salt concentration of 0.5 M, NaCl addition to the polishing slurry showed the

same trend in MRR change as the pH change. There was a steep decrease in the MRR after the

salt concentration exceeded the CCC (0.5 M NaCl) for silica, however. The silicon nitride

substrate did not show such dramatic change. It has been reported that at fixed solids loading, the

MRR decreases as a function of particle size after reaching a critical size of particles [62, 63].

This leads to the explanation of how the coagulation might affect MRR. At a fixed solids loading,

coagulation leads to two possible effects, (i) reduction in the number of abrasive particles

participating in the polishing process thereby decreasing the contact area between particles and

substrate, (ii) increased penetration depth due to size enlargement resulting in higher MRR. As

was discussed by Yeruva, optimal indentation depth is determined by the thickness of the

Page 47: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

47

modified surface layer of silica caused by reaction with water, which is believed to be on the

order of nm in thickness [55]. Besides, the optimum mean particle size resulting in maximum

MRR was reported to be around 75 nm experimentally [63]. In the present study, the

agglomerated particle size is larger than 100 nm, hence a decrease in MRR and poor surface

finish are expected and experimental results confirmed these predictions.

Choi reported that at intermediate salt concentrations, Stöber silica slurry showed a broader

distribution with a larger particle size accompanying the MRR increase, and was attributed to

reduced electrostatic forces and increased particle size due to coagulation [64]. At a higher salt

concentration, they reported low MRR and high roughness values attributed to coagulation of the

silica abrasive particles. In the present study with a colloidal silica slurry, the increase in MRR

can solely be attributed to reduced electrostatic repulsion, since there was no particle size

increase.

Measured surface roughness values indicated that up to 0.3 M NaCl, there was not much

difference in surface roughness (Figure 3-7). However at 0.5 M, a rough surface with low MRR

on silica but not on silicon nitride was observed. On the silicon nitride substrate, the coagulation

of abrasive particles does not seem to have as high an effect as on the silica probably due to the

higher hardness of silicon nitride substrate as compared to the silica abrasive particles.

Salt addition has been reported to increase frictional force between the pad and substrate as

also observed by Mahajan [56]. This suggests that coagulation of abrasive particles is a major

factor in determining frictional forces, which in turn impact MRR.

In order to further establish a correlation between the MRR and electrostatic forces, the

MRR for both materials is plotted in Figure 3-8 as a function of electrostatic repulsive force

between colloidal silica abrasive particles at different levels of pH and salt concentrations.

Page 48: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

48

Except under the extreme conditions such as pH 2, 11.5 and NaCl concentration of 10 mM,

where calculated electrostatic force was not sensitive to experimental variables, an inverse linear

relationship was observed between MRR and electrostatic forces.

Parameters Affecting Surface Finish in STI CMP

Figure 3-9 shows the surface roughness of silica and silicon nitride substrates as a function

of slurry pH. Selected surface morphologies and roughness profiles of the silica and silicon

nitride after CMP at pH 10.4 and 11.5 for both materials are plotted in Figure 3-10. CMP by

colloidal silica slurry improved the roughness of both materials below pH 11. 5 and silica

showed higher roughness values than silicon nitride over the entire pH range examined in this

study. At pH 11.5, CMP resulted in poor surface finish for both materials but the increase of

roughness was higher for silica. Scratches from the CMP process were not observed on either

substrate.

This variation of roughness follows exactly the same trend as the silica solubility results by

Iler [65]. It is known that the solubility of silica shows a steep increase in the basic pH condition.

Iler reported about a three orders of magnitude increase in silica dissolution rate as the pH value

changed from 2 to 11 [65]. The increase in solubility is believed to be due to the hydroxyl ion

(OH-) acting as a catalyst for attack by water on the siloxane (Si-O-Si) network. Specifically,

hydroxyl ions create an excess of electrons resulting in a higher negative surface potential and

consequently more attacks by H3O+ [21]. Therefore, it has been widely believed that the high

dissolution rate of silica at high pH is responsible for the high MRR [53, 54]. The effect of

solubility on surface roughness has not been well understood. It should be noted that solubility of

silica is known to depend on the curvature of the silica surface [66]. Hulett et al. reported that the

convex surface of colloidal silica shows higher solubility than the concave one, and a smaller

radius of curvature exhibits higher solubility [66]. This implies that surface convex impurities

Page 49: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

49

will dissolve faster than flat substrates. However, this prediction is contrary to our experimental

observation of the effect of solubility on MRR and surface roughness, and requires further

investigation.

To evaluate the effect of solubility of silica and silicon nitride on CMP performance,

dissolution rate was determined by measuring the thickness of both substrates immersed in a

0.1M (pH 13) NaOH solution for 12 days without stirring (Figure 3-11). Surface roughness of

the substrates before and after dissolution is presented in Figure 3-12. The dissolution rate of

silica was three orders of magnitude higher than that of silicon nitride most probably due to

higher bond strength of the latter. Even though the experiment was conducted at pH 13, the

magnitude of dissolution of both substrates was relatively low. However, in a real CMP process,

dissolution can be increased by the imposed pressure resulting in higher tensile stress created by

the abrasive particles as they abrade silica surface. Nogami and co-workers reported a 50%

increase in solubility when 30 MPa compressive stress was applied compared with the stress-free

condition [47]. Additionally, when abrasive particles abrade the surface, the temperature can be

higher due to heat generated by friction. It has been reported by Iler that solubility of colloidal

silica increased by more than ten times at 200 oC than at room temperature [65]. However,

incorporation of all those factors still gives a far less dissolution rate than the MRR increase at

pH 11.4 for silica.

Regarding this apparent discrepancy, it should be noted that the attack of hydroxyl ions

will be higher at higher pH resulting in a softer layer, which can be removed easily, and is prone

to damage by abrasion. Consequently, the attack of hydroxyl ions increases the solubility and

promotes formation of a softer layer on the substrate at high pH. The dissolution of silica itself

does not seem to play a bigger role in determining MRR. The extent of hydroxyl ion attack will

Page 50: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

50

also be dependent on the bond strength, and according to Young’s moduli of the materials, this

may explain the reason for low MRR and dissolution rate of silicon nitride.

Figure 3-12 illustrates the surface morphologies of the two substrates after dissolution at

pH 13 for 12 days. There was very small increase in surface roughness for both of the substrates.

The inverse pyramidal-type etch pits observed in Figure 3-13 are common phenomena when

highly concentrated alkaline solution is used for etching silicon in the micromachining of silicon

substrates [67-69].

The reason for the anisotropic etching is different reactivities of certain crystal planes of

silicon. In other words, anisotropic etchants etch much faster in one direction than in another,

which is usually (111) planes of silicon. Therefore, anisotropic etching of (100) silicon by

alkaline solution results in the inverse pyramidal-type etch pits, as was observed experimentally.

Since the thin film used in this research was deposited on (100) silicon, the silica film will have a

similar atomic arrangement as the underlying silicon. It has been well observed in silicon

anisotropic etching that when a dilute alkaline solution (20 wt%) is used, the etching produces

high surface roughness. Palik et al. reported that the high surface roughness is attributed to the

formation of hydrogen bubbles acting as a pseudomask, thus inhibiting uniform etching [69]. In

silica, the overall reaction of the dissolution can be described as follows:

≡ 9)-(3232 H21)OH(SiOHOHSiOH +=++ +−

Gas bubbles were observed during dissolution experiments and are believed to be hydrogen gas.

For the reaction shown in Equation (3-9) to occur, the nucleation of hydrogen bubbles is a

dominant step and it is much easier to nucleate them on high energy sites giving rise to surface

defects.

Page 51: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

51

Salt Mediated Lubrication

Donose and co-workers have reported that various cations adsorbing on silica from

electrolyte solutions can induce lubrication through the formation of a hydrated cation layer [70].

Due to the difference in hydration enthalpy of different cations, resultant lubrication was

different for each added salt. Similar phenomena have been reported by Raviv and Klein by a

modified surface force apparatus [71]. They measured the shear force between mica surfaces and

concluded that hydration layers of adsorbed cations act as a highly efficient boundary lubricant.

Their research was mostly done by lateral force microscopy and the macroscopic effect on CMP

was not investigated.

Figure 3-14 shows the lateral force as a function of loading force in the presence of various

salts such as LiCl, NaCl and CsCl reported by Donose and co-workers [70]. According to their

results, every salt showed higher lubrication effect than pure water. The thickness of the

adsorbed cation layer increases with increasing electrolyte concentration. Highly hydrated

cations such as Li+ can form a thick and soft layer resulting in higher lubrication than poorly

hydrated cation such as Cs+. It was observed that the degree of lubrication followed their order of

hydration, which is Li+ > Na+ > Cs+. Schematics shown in Figure 3-15 illustrate this concept.

For pure water, at least one layer of water molecules are bound to the silica surface, but this layer

is relatively thin and firmly adsorbed to the silica surface resulting in rigid interface. In the

presence of an electrolyte solution, there is a thicker hydration layer than pure water. The model

suggested by Raviv et al. states that the cations surrounded with water molecules are very hard to

remove and remain fluid like in a lateral direction and promote lubrication. It is well known that

smaller Li+ ion has the highest hydration enthalpy and hydrated radius among various

Page 52: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

52

cations[72]. Accordingly, Li+ ions have a thicker and more effective lubricating layer on silica

surface, while Cs+ ions have a thinner and less effective lubrication layer.

To investigate how the variations in lubrication affects the real CMP performance, CMP

was conducted as a function of applied polishing pressure using three slurries with no salt, 1 M

LiCl, and 1 M CsCl. The salt concentration was selected corresponding to the results reported by

Donose and co-workers [70]. The particle size was measured to assess if the selected salt

addition causes any coagulation of the abrasive particles (Figure 3-16). When appropriate

amounts of 5 M LiCl and 5 M CsCl were added to change the salt concentration, there was no

particle size increase initially up to about 10 minutes after mixing. As time passed, gelation took

place slowly and the peak height of the particle size decreased and the size distribution became

broader. While it is not well understood how the gelation affects the CMP performance, CMP

was performed 5 minutes after the mixing of 5 M salt solution to avoid the possible effect of

gelation and ensure uniform mixing of added salt. Surface roughness measurement showed that

RMS surface roughness was around 0.15 nm for all the conditions at the same polishing pressure

and there was no increase from salt addition. Therefore, it appears that the variation in MRR is

due to the effect of salt on material properties and not necessarily from gelation and coagulation.

Figure 3-17 shows the variation in the MRR with and without 1 M LiCl and CsCl as a

function of polishing pressure. Increase in the MRR with added salt suggests that electrostatic

interactions play a dominant role in polishing. The MRR of the silica substrate using a slurry

with 1 M LiCl is lower than that of 1 M CsCl showing results in agreement with those from

lateral force microscopy measurements. Considering the same electrolyte concentration in both

experiments, the electrostatic forces should be similar. The lubrication effect of individual

Page 53: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

53

particles should reduce the MRR, but increase in the number of abrasive particles due to reduced

electrostatic repulsive forces between them seems to have resulted in overall higher MRR.

In summary, CMP performance using colloidal silica slurry in a silica and silicon nitride

system revealed that Young’s modulus of the substrate material is more likely the reason for the

differences in their MRR, with electrostatic repulsive force imposed by pH change in the slurry

playing a dominant role. The electrostatic interaction was validated by monovalent salt addition

to the slurry. A linear relationship between the MRR and electrostatic forces implied that such

repulsive interactions probably resulted in governing the number of particles engaged in the

polishing process. Dissolution rates were measured by immersing substrates into 0.1 M NaOH

solution for 12 days and the results showed that dissolution of silica was much higher than

silicon nitride, however, the rate of dissolution was too low to make any significant difference in

the MRR. It seems that the attack of hydroxyl ions at higher pH is responsible for poor surface

finish and higher MRR due to the formation of a softer top layer. Dissolution in alkaline

solutions produced a poor surface finish due to nucleation of hydrogen gas bubbles.

The effect of the nature of added ions on CMP performance was also investigated. The

Lubrication effect of hydrated cations was determined not to be a dominant factor in MRR.

However, a slurry with LiCl showed lower MRR than one with CsCl, which suggests that the

lubrication of the hydrated cations is playing a limited role in determining the MRR.

Page 54: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

54

Table 3-1. Young’s modulus, hardness measured by nanoindentation method, material removal rate (MRR), ratio of MRR (CMP pressure of 7 psi), and ratio of Young’s modulus for silica and silicon nitride.

E (GPa) H (GPa) MRR (Å/min) MRRSiO2/MRRSi3N4 ESi3N4 /ESiO2 SiO2 84.6 ± 3.0 8.5 ± 0.3 4696 Si3N4 176.6 ± 2.5 23.5 ± 1.0 1382

3.4 2.1

Page 55: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

55

Figure 3-1. Variations of mateiral removal rate (MRR) for silica and silicon nitride substrate as a function of applied pressure by using undiluted (30 wt%) colloidal silica slurry at pH 10.4.

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

0 2 4 6 8 10 12 14 16 18-1000

0

1000

2000

3000

4000

5000

6000

7000

8000

9000

pH 10.4 SiO2 Si3N4

Pressure (psi)

Page 56: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

56

Figure 3-2. Variations of MRR of silica and silicon nitride substrate and calculated electrostatic force between two abrasives as a function of pH of the diluted (12 wt%) colloidal silica-based slurry (Klebosol 1501-50).

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

2 4 6 8 10 12400

600

800

1000

1200

1400

1600

1800

2000

2200

2 3 4 5 6 7 8 9 10 11 12400

600

800

1000

1200

1400

1600

1800

2000

2200

2 4 6 8 10 12

0.00

0.02

0.04

0.06

0.08

0.10

MRR SiO2 MRR Si3N4

Ele

ctro

stat

ic F

orce

/R (m

N/m

)

Force

pH

Page 57: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

57

Figure 3-3. Particle size distributions of colloidal silica slurry at two different pH conditions.

0.1 1-2

0

2

4

6

8

10

12

14

16D

iffer

entia

l Vol

ume

(%)

pH 10.4 pH 2

Particle Size (μm)

Page 58: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

58

Figure 3-4. Zeta potential of colloidal silica slurry and electrostatic force between silica abrasive particles. Force was calculated from the zeta potential values by constant surface charge model. The distance between abrasives was assumed to be 1 nm.

2 4 6 8 10 12

-90

-75

-60

-45

-30

-15

0

1 2 3 4 5 6 7 8 9 10 11 12

0.00

0.02

0.04

0.06

0.08

0.10

Elec

trost

atic

For

ce/R

(mN

/m)

Zeta

pot

entia

l (m

V)

pH

Page 59: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

59

Figure 3-5. Variations of MRR and calculated electrostatic force between two abrasives as a function of slurry NaCl salt concentrations in the slurry at pH 10.4.

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

101 102

400

600

800

1000

1200

1400

1600

1800

2000

2200

2400

101 1020

5

10

15

20

25

MRR SiO2 MRR Si3N4

NaCl Concentration (mM)

pH 10.4 Force

Ele

ctro

stat

ic F

orce

/R (m

N/m

)

Page 60: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

60

Figure 3-6. Particle size distributions of colloidal silica slurry (Klebosol 1501-50, 12 wt%) as a function of salt concentrations at pH 10.4.

0.1 1

0

2

4

6

8

10

12

14

16

No Salt 0.1 M NaCl 0.3 M NaCl 0.5 M NaCl

Diff

eren

tial V

olum

e (%

)

Particle Size (μm)

Page 61: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

61

Figure 3-7. Surface roughness of silica and silicon nitride substrate after CMP as a function of added salt (NaCl) concentration at pH 10.4.

0 0.3 0.50.0

0.1

0.2

0.3

0.4

0.5R

MS

Rou

ghne

ss (n

m)

NaCl Concentration (M)

SiO2 Si3N4

Page 62: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

62

Figure 3-8. Material removal rate of silica and silicon nitride as a function of repulsive electrostatic force between silica abrasives: (a) pH effect and (b) Salt (NaCl) addition at pH 10.4.

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

300

600

900

1200

1500

1800

2100

2400

0.00 0.02 0.04 0.06 0.08 0.100.00 0.060.00 0.06

(a)

SiO2

Electrostatic force/R (mN/m)

Si3N4

0 5 10 15 20 25

600

900

1200

1500

1800

2100

2400pH 10.4(b)

()

E lectrostatic Force/R (mN/m)

SiO2 Si3N4

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

Page 63: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

63

Figure 3-9. Surface roughness of silica and silicon nitride substrates after CMP as a function of slurry pH.

2 3 4 5 6 7 8 9 10 11 12 130.05

0.10

0.15

0.20

0.25

0.30

0.35

0.40

0.45

0.50

0.55

RM

S R

ough

ness

(nm

)

pH

SiO2 Si3N4

Page 64: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

64

Figure 3-10. Surface morphologies and profiles of substrates from two pH conditions; (a) silica at pH 10.4, (b) silica at pH 11.5, (c) silicon nitride at pH 10.4, and (d) silicon nitride at pH 11.4

Si3N4, pH 11.5, RMS Roughness: 0.22 nm (d)

Si3N4, pH 10.4, RMS Roughness: 0.14 nm (c)

(a) SiO2, pH 10.4, RMS Roughness: 0.24 nm

SiO2, pH 11.5, RMS Roughness: 0.48 nm (b)

Page 65: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

65

Figure 3-11. Material thickness change of silica and silicon nitride substrates as a function of immersed time in pH 13 NaOH solution. Ellipsometer was used to measure thickness change. Calculated dissolution rates were also shown.

Rem

oved

Thi

ckne

ss (Å

)

0 2 4 6 8 10 120

200

400

600

800

1000

1200

1400

1600

1800

2000

SiO2 Si3N4

Si3N4 Dissolution rate:

0.1 M NaOH (pH 13)SiO2 Dissolution rate:

Days

0.109 Å/min

0.966 x 10-3Å/min

Page 66: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

66

Figure 3-12. Surface morphologies and profiles of substrates before and after etching in pH 13

NaOH solutions; (a) bare silica (b) silica after 12 days, (c) bare silicon nitride, and (d) silicon nitride after 12 days.

SiO2, RMS Roughness: 0.338 nm(a)

Si3N4, RMS: 0.204 nm, Rmax: 3.073 nm(c)

Si3N4 at pH 13 for 12 days, RMS Roughness: 0.241 nm (d)

(b)

Si3N4, RMS Roughness: 0.204 nm

SiO2 at pH 13 for 12 days, RMS Roughness: 0.434 nm

Page 67: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

67

Figure 3-13. Etch pits formed on (a) silica and (b) silicon nitride substrate immersed in 0.1 M (pH 13) NaOH solution for 12 days.

(a)

(b)

Page 68: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

68

Figure 3-14. Lateral force of a 6.8 μm silica particle interacting with a silica substrate in pure

water and CsCl, NaCl, and LiCl solutions of 1 M: dependence of friction on the applied load at a fixed scan rate of 2 μm/s [70].

Page 69: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

69

Figure 3-15. Schematic representation of the hypothetical frictional mechanisms [70].

Page 70: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

70

Figure 3-16. Particle size distributions of colloidal silica slurry (Fuso PL-7) without salt and with

1 M LiCl and 1 M CsCl.

0.1 1-2

0

2

4

6

8

10

12

14

16

18

PL-7 9.6 wt%, pH 7.3

No Salt 1 M CsCl 1 M LiCl

Particle Size (μm)

Diff

eren

tial V

olum

e (%

)

Page 71: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

71

Figure 3-17. Material removal rate of silica substrates by CMP using diluted (9.6 wt%) colloidal silica slurries (PL-7) without salt and with 1 M LiCl and 1 M CsCl as a function of applied polishing pressure.

0 2 4 6 8 10 12

0

30

60

90

120

150

180

210

240

pH 7.3 Fuso PL-7 1 M CsCl 1 M LiCl No Salt

Mat

eria

l Rem

oval

Rat

e (n

m/m

in)

Pressure (psi)

Page 72: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

72

CHAPTER 4 ROLE OF SURFACTANTS IN DEVLOPING SELECTIVE PASSIVATION LAYER IN CMP

In this chapter, a surfactant mediated passivation approach to increase STI CMP selectivity

is discussed.

Selective adsorption of a surfactant is necessary to develop selective passivation in CMP.

It can be achieved if there is an adequate difference in the surface charge characteristics of the

substrates. This concept has been successfully used to achieve selective coating of surfactants in

mineral flotation [73, 74]. Interactions between a solid surface and charged polar head of the

surfactant molecule determine the adsorption strength and the resultant adsorption density. In

CMP, surfactants have been used not only to disperse abrasive particles but also to create

lubricating layers, yielding passivation against polishing. In the present study, an anionic

surfactant, SDS, was used to create a selective passivating layer only on the silicon nitride and

not on the silica substrate. It is well known that isoelectric point (IEP) of silicon nitride is higher

than silica resulting in less negative potential for silicon nitride above the IEP [23]. The

concentration of SDS was adjusted to 16 mM, twice the critical micelle concentration (CMC),

which has been shown previously to yield stable dispersion of silica abrasives in a CMP slurry

[75].

For adsorption studies, silica from Geltech Co. and silicon nitride from Ube Co. (SN-E10)

were used to simulate silica and silicon nitride substrates. The particle size of silica was

measured to be around 0.53 µm by Coulter, and that of silicon nitride, which was measured by

centrifugal sedimentation was reported to be around 0.5 µm by the manufacturer. Their specific

surface areas were measured to be 8.1 m2/g and 10.4 m2/g, respectively by Quantachrome Nova

1200, BET surface area measurement technique. The specific surface area of abrasive silica

particles was measured to be 34 m2/g by Quantachrome Autosorb 1C-MS. The Phoenix 8000

Page 73: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

73

UV-Persulfate TOC Analyzer was used to measure the SDS concentration. 99% sodium dodecyl

sulfate (SDS) surfactants from Acros Organics Co. and Fisher Scientific Co. were used as

received. 98% dodecyl alcohol from Eastman Kodak Co., 95% Sodium tetradecyl sulfate from

Acros Organics Co. and Tween 80 from Fischer Scientific were also used, as received.

High Selectivity Slurry Using Surfactants

The addition of SDS to the slurry was found to result in a lower value of MRR of silica and

silicon nitride in the entire pH range investigated in the present study (Figure 4-1). However,

significant increase in selective polishing of silica was measured below pH 3, yielding a

selectivity of 25 as compared to state-of-the-art ceria abrasives of 5. The silicon nitride surface

appeared to be fully passivated with the surfactant layer at a pH below its IEP of pH 4.5, with

minimal effect on silica CMP. The surface quality of substrates plotted in Figure 4-2 indicated

that surfactant addition did not cause any additional defects measured as root mean square

(RMS) roughness.

To understand the reasons for the observed selectivity, zeta potential and adsorption

density measurements were conducted as a function of slurry pH (Figure 4-3). The IEP of silicon

nitride and silica substrates were measured to be about pH 4.5 and pH 2.2, respectively. The

difference in the IEP results from the different surface groups constituting each material. As

mentioned earlier, acidic silanol (SiOH) are the major surface groups on silica, while the silicon

nitride surface consists of basic amine (Si2NH) and acidic silanol (SiOH) groups [23]. These

surface groups can acquire charge in aqueous solution according to following reactions:

1)-(4+− += HSiOSiOH

2)-(4++ =+ 222 NHSiHNHSi

Page 74: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

74

Consequently, zeta potential of silicon nitride is more positive due to the positively charged

amine groups on its surface.

The adsorption density of SDS was measured to be higher on silicon nitride than silica at a

pH below their IEP. This is attributed to the resultant electrostatic interactions between the

substrate and surfactant molecules. At pH 2, the zeta potential of silicon nitride was measured to

be +40 mV, whereas, that of silica was around +3 mV. Accordingly, the adsorption density on

silicon nitride was determined to be six times higher than on silica resulting in complete

passivation of the former. At pH values above the IEPs for both materials, there was still

measurable adsorption on both materials, however, the adsorption density on silicon nitride was

higher probably due to more positive sites on silicon nitride from surface amine groups. There

have been several reports of SDS adsorption on the negatively charged silica surface. Hydrogen

bonding and sodium ion mediated surfactant bonding are proposed as plausible mechanisms [76,

77].

In order to measure the effect of surfactant concentration on selectivity, polishing was

conducted as a function of added surfactant concentration (Figure 4-4). The MRR for both silica

and silicon nitride started to decrease upon SDS addition and reached a minimum above 16mM.

The maximal decrease in the MRR for silica was around 20% from its original value, and that for

silicon nitride was more than 90%, resulting in 10 times higher polishing selectivity than without

surfactant addition. No further change in the MRR or selectivity was observed once the added

surfactant concentration exceeded 16mM. It has been reported that once the equilibrium

concentration reaches CMC, no more adsorption changes are observed due to electrostatic

repulsion between adsorbed micellar aggregates and free micelles in solution [78].

Page 75: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

75

Surfactant Mediated Boundary Layer Lubrication for Selective Polishing

Vakarelski et al. have shown that beyond the CMC of the cationic surfactant

(dodecyltrimethylammonium bromide, C12TAB), there was no further decrease in the lateral

force on silica substrate [22]. Consequently, it is hypothesized that the maximum decrease in the

MRR will occur when the bulk concentration reaches the CMC of SDS (around 8mM) [79].

However, in the present study, two times higher concentration of surfactant than the CMC was

required to achieve maximum selectivity. The measurement of SDS adsorption on the CMP

slurry as a function of pH showed that about 91% of added (16mM) SDS adsorbed on the

abrasive particles at pH 2 as shown in Figure 4-5. The area per molecule using the Gibbs

adsorption equation, was calculated to be around 70 Å2/molecule, which is higher area per

molecule than the literature value of 53 Å2/molecule [79] at the liquid/gas interface.

The possible reasons for the higher dosage of surfactant than expected are that the

surfactant adsorption does not reach true equilibrium conditions due to process conditions

encountered in CMP. This phenomenon may also be related to the dynamic aspects of surfactant.

The reported τ2 for SDS is around 2.32 × 10-3 s [80]. However, according to Patist and co

workers, when 15 mM SDS was used for foaming experiments, the dynamic surface tension

decreased as a function of bubble life time until it reached the saturation after about two seconds

[81]. Recently, Philipossian et al. have reported the mean residence time of colloidal silica slurry

between pad and substrate to be of the same order of a few seconds under the present

experimental conditions [82]. Assuming that other conditions are similar, the mean residence

time in our study is expected to be 2 - 3 seconds. Considering that these two numbers are

comparable, migration of surfactant to the newly formed substrate surface may be limited due to

the high speed rotation of pad and wafer in CMP.

Page 76: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

76

The adsorption free energy is the driving force for surfactant adsorption and is the sum of

various molecular interactions [78]. In the current study, it can be categorized into two categories,

(i) interactions between the polar head of SDS and the surface through electrostatic and hydrogen

bonding, and (ii) hydrophobic interactions between alkyl chains of adsorbed SDS molecules. By

using the measured adsorption density plotted in Figure 4-5, and the radius of the SDS micelle

(20 Å) [79], the adsorption free energy of SDS on silica abrasives was calculated to be -3.58

kcal/mol at pH 2 using modified Stern-Graham equation [78].

3)-(4⎟⎟⎠

⎞⎜⎜⎝

⎛=

kTΔG

-exprC2Γoads

o

where, Γ is the adsorption density, r is the effective radius of the adsorbed ion, k is the Boltzman

constant, Co is the bulk concentration, T is 298 K, and oadsGΔ is the adsorption free energy. The

electrostatic component of the adsorption free energy was calculated to be -0.76 kcal/mol using

zeψδ [78], where, z is the valency of the adsorbate species, e is the charge of the electron, and the

ψδ is the potential at the δ plane (assumed to be the zeta potential). These calculations indicate

that significant adsorption of the surfactant on the abrasive particles is more favorable and may

act as an additional energy barrier.

Optimization of High Selectivity Slurry

It is clear from the above discussion that the adsorption density of surfactant molecules on

the substrate is an important factor in determining the slurry selectivity. In order to reduce the

required dosage of the surfactant, longer alkyl chain length surfactants were examined, since it

was expected to exhibit better lubrication effects at a lower amount of added concentration. This

is attributed to the formation of more compact surfactant layers [75]. The MRR and polishing

selectivity as a function of alkyl chain length of the sodium alkyl surfactant are plotted in Figure

Page 77: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

77

4-6. The surfactant concentration was selected to be twice the CMC value to compensate for the

loss of surfactant due to adsorption on silica abrasive particles. As expected, SDS with longer

alkyl chain length (C12) resulted in higher MRR decrease for silicon nitride with almost

negligible effect on silica, thus yielding higher selectivity than sodium decyl sulfate (C10).

However, when sodium cetyl sulfate (C14) was examined, there was a smaller decrease in MRR

of silicon nitride resulting in lower selectivity. Considering that the Krafft point of C14 sodim

sulfate (30 oC) [80] is higher than room temperature and higher than that of SDS (16 oC) [80],

the surfactant was not completely solubilized and therefore failed to form a functional

passivation layer.

Another approach to decrease the dosage of the surfactant required to achieve desired

selectivity involved using mixed surfactant system (Tween 80/SDS and dodecyl alcohol/SDS) at

pH 2. The MRR and selectivity for the selected systems are plotted in Figure 4-7. In the case of

dodecanol and SDS, selectivity was lower for the mixed surfactant system than for 16mM SDS

alone. It is possible that the addition of a small amount of dodecanol promotes adsorption of SDS

both on silica and silicon nitride. Although there was no appreciable change in the MRR on

silicon nitride, the higher adsorption of SDS on silica also passivated its surface.

It has been reported by Pala and co-workers that surfactant mixture of SDS and various

nonionic surfactants can produce synergistic effects for dispersion of slurry under high ionic

strength conditions [34, 37]. When 8mM Tween 80 was added to 16mM SDS, the MRR of silica

was highly suppressed, whereas that of silicon nitride remained almost unchanged, thereby

resulting in poor selectivity. It is well known that nonionic surfactant such as Tween 80, which

has ethylene oxide groups (OC2H4), can adsorb on silanol groups (SiOH) on silica through

hydrogen bonding [83]. These observations strongly suggest that a surfactant or surfactant

Page 78: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

78

system that exhibits strong preference only for silicon nitride is essential for developing

surfactant-based high selectivity slurries.

In summary, colloidal silica, which shows high dispersion stability in the range of pH 2 to

11, was utilized to develop a high selectivity slurry. The addition of SDS at pH 2 resulted in

more than ten times higher selectivity than the conventional slurry. Additionally, AFM

roughness measurement showed an acceptable surface finish. Adsorption density measurements

revealed that there is a preferential higher adsorption of SDS on silicon nitride, possibly due to

electrostatic attraction, as compared to silica. The SDS adsorption results in differential

passivation/lubrication and hence lower polishing efficiency of silicon nitride as compared to

silica. The CMP characteristics examined as a function of added SDS showed that decrease in

MRR and increase in selectivity leveled off at about twice the surfactant CMC and remained

unchanged, thereafter. The surfactant requirements appear to be driven by their adsorption

primarily on silica abrasive particles. To reduce the surfactant dosage, longer alkyl chain length

surfactants were tested, which yielded higher selectivity at lower dosage. However, the addition

of a long chain length alcohol to substitute for the surfactant resulted in lower selectivity,

probably due to higher adsorption of the surfactant on silica. Mixed ionic and nonionic surfactant

systems, on the other hand, resulted in poor selectivity due to passivation of both silica and

silicon nitride, although to a different degree.

Page 79: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

79

Figure 4-1. Influence of SDS addition on CMP performances: (a) Variation of material removal rate (MRR) as a function of slurry pH with and without 16mM sodium dodecyl sulfate (SDS), (b) Accompanying selectivity of the slurry.

1 2 3 4 5 6 7 8 9 10 110

5

10

15

20

25

30

2 4 6 8 101 2 3 4 5 6 7 8 9 10 11

Selectivity without SDS(b)

Sel

ectiv

ity

pH

Selectivity with SDS

-400

0

400

800

1200

1600

2000

2400

2800

3200

1 2 3 4 5 6 7 8 9 10 11pH

MRR with SDS SiO2 Si3N4

MRR Without SDS SiO2 Si3N4

(a)

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

Page 80: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

80

Figure 4-2. Surface finish of silica and silicon nitride substrates processed with standard and high

selectivity slurry.

Standard (pH 10.4) pH 2 16mM SDS at pH20.00

0.07

0.14

0.21

0.28

0.35

Rou

ghne

ss (R

MS

, nm

) SiO2 Si3N4

Page 81: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

81

Figure 4-3. Variation of zeta potential of silica and silicon nitride substrate and adsorption

density of 16mM SDS on silica and silicon nitride powder measured by total organic carbon (TOC).

1 2 3 4 5 6 7 8 9 10 11-160

-140

-120

-100

-80

-60

-40

-20

0

20

40

60

2 4 6 8 100.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

4.5

5.0

SiO2 Si3N4

Zeta

Pot

entia

l (m

V)

Ads

orpt

ion

dens

ity (μ

mol

/m2 )

pH

SiO2 Si3N4

Page 82: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

82

Figure 4-4. Variation of MRR and accompanying selectivity of Klebosol slurry (12 wt%) as a function of added SDS concentration at pH 2.

-3 0 3 6 9 12 15 18 21 24 270

70

140

210

280

900

1200

1500

1800

2100

2400

2700

-3 0 3 6 9 12 15 18 21 24 270

10

20

30

40

50

Sel

ectiv

ity

MRR SiO2 MRR Si3N4

()

SDS Concentration (mM)

pH 2

Selectivity

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

Page 83: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

83

Figure 4-5. Adsorption density of SDS on 12 wt% Klebosol slurry with 16 mM SDS as a function of pH.

2 4 6 8 10 12

2.20

2.22

2.24

2.26

2.28

2.30

2.32

2.34

2.36

2.38

pH

Ads

orpt

ion

dens

ity (μ

mol

/m2 )

Page 84: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

84

Figure 4-6. Effect of alkyl chain length of sodium alkyl sulfate on MRR and selectivity at pH 2. The concentration was adjusted to 2 times the CMC to compensate the loss during CMP process.

C10 C12 C140

30

602000

2100

2200

2300

2400

2500 MRR SiO2 MRR Si3N4 Selectivity

C10 C12 C140

10

20

30

40

50pH 2

Sel

ectiv

ity

Mat

eria

l Rem

oval

Rat

e (A

/min

)

66 mM C10

Sodium Sulfate

16 mM C12

Sodium Sulfate

4.2 mM C14

Sodium Sulfate

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

Page 85: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

85

Figure 4-7. MRR and selectivity obtained by slurries with various surfactant and surfactant mixtures at pH 2. Slurry A (16mM SDS) was included for comparison purpose.

0

30

60

1000

1500

2000

2500

0

10

20

30

40

50pH 2

Sel

ectiv

ity

MRR SiO2 MRR Si3N4 Selectivity

Mat

eria

l Rem

oval

Rat

e (A

/min

) A

B

C

A = 16 mM SDS B = 0.8 mM Dodecanol/15.2 mM SDS C = 8 mM Tween 80/16 mM SDS

Mat

eria

l Rem

oval

Rat

e (Å

/min

)

Page 86: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

86

CHAPTER 5 ADSORPTION STUDY OF SODIUM DODECYL SULFATE ON SILICA

Considering the relevance of surfactants in developing selective CMP slurries, it is

important to understand their adsorption mechanisms on different substrates to optimize their

performance as passivating agents. Accordingly, adsorption behavior of sodium dodecyl sulfate

(SDS) on silica was studied. Special emphasis was placed on SDS adsorption on colloidal silica

particles at high pH where both constituents exhibit negative charges.

To measure adsorption density of SDS on colloidal silica particles, diluted Klebosol

colloidal silica slurry (12 wt%) was prepared. After dilution, the slurry pH was measured to be

around 10.4. Suspension pH was adjusted with HCl and KOH solutions prepared with analytical

grade substances purchased from Fisher Scientific Co. A proper amount of 100 mM SDS

solution was added to obtain 1 to 5 mM SDS concentrations. Higher SDS concentrations were

achieved by adding dry SDS powder. Adsorption density measurement incorporated the

following steps: 1) add surfactant to silica suspension, 2) magnetically stirring for 10 min, 3)

centrifuge at 1500 rpm and 4) separate appropriate amount of supernatant and dilute with

nanopure water to yield a concentration within calibration range (around 50 ppm). Finally, 40

ml vials were loaded to total organic carbon (TOC) analyzer and measure the residual (bulk)

SDS concentration in the supernatant. Concentration of adsorbed surfactant on particle was

calculated from the difference in input concentration and residual bulk concentration. Specific

surface area of colloidal silica was measured to be 34 m2/g by Quantachrome Autosorb 1C-MS.

In order to gain insight into specific binding mechanisms, Fourier transform infrared

spectroscopy (FTIR) measurements were conducted. A nitrogen-purged Nicolet Magna 760

spectrometer equipped with a DTGS detector was used to conduct FTIR analysis.

FTIR/attenuated total reflection (ATR) method is well established for its sensitivity to the

Page 87: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

87

surface property change [84-86]. Since it is well known that the surface of silicon is covered with

silica by spontaneous oxidation, Si ATR crystal and surfactant solution were used to investigate

the adsorption behavior. All the spectra were the results of 512 co-added scans at a resolution of

4 cm-1. Surfactant solutions of different concentration of SDS were prepared at pH 10.4. During

the measurement, the solutions were added to the Si ATR crystal assembly. After adding

surfactant solution the sample chamber was purged with dry N2 gas to remove any residual

atmospheric moisture and CO2. After 20 minutes of purging, CO2 peaks disappeared, however

H2O peaks could not be eliminated.

Adsorption Behavior of SDS on Silica

Adsorption isotherm of SDS on colloidal silica suspension (Klebosol 1501-50, 12 wt%)

measured at pH 10.4 is given in Figure 5-1. Despite the fact that both SDS surfactant and silica

surface are negatively charged at this pH, the isotherm appears to be similar to that of

electrostatic interaction dominant adsorption behavior [87-89]. In region I, where adsorption

density is not high, adsorption is assumed to occur by electrostatic attraction. In region II, a

sudden increase in adsorption is attributed to hemimicelle formation. In region III, there is a

decrease in the rate of adsorption as indicated by change in the slope, which is ascribed to bilayer

formation. Adsorption in region IV reaches a constant value apparently due to micelle adsorption

on the surface [90].

In the current study, at low equilibrium surfactant concentrations up to 1.6 mM, the

adsorption is very small due to electrostatic repulsion between SDS and silica substrate.

However, there was a measurable adsorption prrobably due to hydrogen bonding. Beyond 1.6

mM, the adsorption increases sharply and may be attributed to attractive hydrophobic

interactions between alkyl chains of surfactant resulting in hemimicelle formation. Beyond 8

mM, adsorption density leveled off. Critical hemimicelle concentration (HMC) and critical

Page 88: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

88

micelle concentration (CMC), which can be inferred from the adsorption isotherm in Figure 5-1

occurred at around 1.6 mM and 8 mM, respectively. In the previously reported SDS-alumina

system with a background electrolyte of 0.1 M NaCl, HMC and CMC were reported to be around

0.05 mM and 1.6 mM, respectively [91]. In the current system, electrostatic repulsion plays a

dominant role in controlling adsorption behavior at lower surfactant concentration, thereby

resulting in relatively higher HMC on silica. Beyond HMC, hydrophobic attractive forces govern

surfactant adsorption process.

Under saturation adsorption conditions, the average area per molecule was calculated to be

41.6 Å2 from adsorption isotherm, which compares favorably to 53 Å2 reported at the air-water

interface for SDS [80]. In the case of SDS-alumina system, it was calculated to be around 23.7

Å2 indicating the formation of more compact surfactant aggregates due to attractive electrostatic

interactions between SDS and alumina. The area covered by the adsorbed SDS molecules on

silica particles was calculated to be 663.2 m2, assuming the area occupied by one SDS molecule

to be 53 Å2. Considering that the total area of silica particles is 520.2 m2, surface coverage by

SDS molecules indicates the formation of a bilayer, if this surface is assumed to be homogenous,

or micellar type adsorption, otherwise. In the latter case, using the reported aggregation number

(64) and the radius of SDS micelle, 20 Å [79, 92], it was calculated that there are total 1.95

× 1019 micelles adsorbed onto silica particles. Therefore, in the steady state, 47.2% of the silica

surfaces is covered with SDS micelles. Using a theoretical density of 2 g/cm3, 15.3 g of silica

particles in the slurry, and the particle radius of 45nm, the number of silica particles in 100 ml

slurry was calculated to be 2 × 1016. This value indicates that approximately 9.75 × 102 SDS

micelles coat each particle.

Page 89: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

89

To further understand the adsorption of SDS on similarly charged silica, adsorption energy

was calculated using modified Stern-Graham equation (Equation (4-3)). Calculated adsorption

free energy under saturation adsorption conditions was found to be -2.9 kcal/mol indicating that

primarily physical adsorption is responsible for SDS adsorption on silica.

In order to assess the effect of pH on SDS adsorption on silica, measurements were

conducted at 1.6 mM and 16mM concentrations. Results plotted in Figure 5-2 show the

adsorption behavior of SDS on silica correlates well the zeta potential of silica indicating that

surface charge of the silica plays an important role in SDS adsorption. Adsorption energy

calculations revealed that at 1.6 mM SDS concentration, adsorption energy at pH 10.4 is 0.02

kcal/mol as compared to -1.17 kcal/mol at pH 2, indicating an energetically unfavorable process

at pH 10.4 and a favorable one at pH 2. At 16mM, the electrostatic effect was probably

dominated by the increased hydrophobic attractive interactions between alkyl chains resulting in

adsorption energy of -3.14 kcal/mol at pH 10.4 and -3.59 kcal/mol at pH 2 indicating favorable

adsorption at both pH values.

Structure of Adsorbed SDS Molecules

To investigate the structure of adsorbed SDS molecules on silica surface, zeta potential

was measured as a function of added SDS concentration at pH 10.4 (Figure 5-3). At very low

concentration of SDS (region I), zeta potential essentially remains unchanged. As the

concentration increases (region II), sodium ions are adsorbing on the silica surface resulting in

less negative zeta potential. It is hypothesized that there are surfactant molecules weakly bonded

to sodium ions. Surfactant molecules associated with sodium ion will not exhibit significant

impact on the zeta potential measurements due to mutual charge neutralization. As the surfactant

concentration increases, hemimicelles form and grow in size in region III, and the slope of zeta

potential increase becomes smaller than region II. It seems that free SDS starts to adsorb on the

Page 90: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

90

hemimicell coated surface forming bilayers in region III resulting in a lower slope change.

Finally in region IV, when surfactant aggregates form micelles, zeta potential reversal occurs by

incorporating a number of free monomers in the solution. When a background electrolyte was

added to the system, overall zeta potential was less negative and the slope change was less

pronounced, but a similar trend was observed. Above hypothesis is shown schematically in

Figure 5-4.

The correlation between SDS adsorption and zeta potential is clearer from Figure 5-5,

where adsorption density and zeta potential are co-plotted as a function of equilibrium

concentration of SDS. The change in zeta potential follows the adsorption isotherm and zeta

potential reversal occurs at CMC. However, due to the low surface coverage of micelles (4%) on

silica surfaces the change was not significant.

SDS adsorption behavior on silica, as determined in the present study, is contrary to

electrostatic considerations, since both the substrate and surfactant molecules are similarly

charged. There have been several reports of SDS adsorption on negatively charged silica or

sepiolite, a hydrated magnesium silicate (Si12Mg9O30(OH)6(OH2)4H2O) [76, 77, 93]. Possible

mechanisms for this observation were hydrogen bonding between silanol groups and SDS, and

counter ion mediated surfactant adsorption. Several noticeable thermodynamic properties of the

surfactant were reported by Özdemir et al. through the adsorption study of SDS on sepiolite. At

saturation adsorption, the adsorption free energy calculated from Frumkin model was -3.1

kcal/mol at 25 oC [93]. It is comparable to the results in the present study (-2.9 kcal/mol). This

low energy of adsorption indicates that weak physical forces are responsible for adsorption.

Calculated adsorption free energy from the adsorption isotherm in Chandar’s report was -4.18

Page 91: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

91

kcal/mol, indicating that the driving force for adsorption involving electrostatic attraction is

higher than hydrogen bonding alone.

To further investigate the mechanism of SDS adsorption on negatively charged silica

particles, FTIR ATR (attenuated total reflection) measurements were conducted. It should be

mentioned that quantitative analysis by FTIR is not very accurate and it is not well understood

how the electrostatic interaction affects the FTIR spectra. On the other hand, adsorption of

various molecules via hydrogen bonding has been well observed and documented [94, 95]. One

of the noticeable research on hydrogen bonding behavior for silica and dibenzodioxin was done

by Guan et al. [95]. They reported that as the adsorption of dibenzodioxin on silica surface

increased, the peak of geminal silanol group decreased and that of isolated silanol group

increased, indicating that the molecular adsorption occurs at the expense of the silanol group by

hydrogen bonding. Their measurement was done using dry powder samples. In the current study,

all the measurements were conducted in aqueous surfactant solution by using ATR crystal.

Figure 5-6 shows the spectra of SDS at 1, 2.5, 5 and 10 mM concentration in the CH2

stretching region measured at pH 10.4. As was discussed by Pankaj et al., the absorbance

intensity increased up to 5mM, and it decreased at 10mM, which is higher than CMC of SDS (8

mM) [96]. The reason for the decrease of absorbance intensity upon micelle formation is not well

understood, however, it confirms the adsorption of SDS on silica surface at high pH. Due to the

overlapping of the peaks from silanol groups and water, changes in silanol groups were not

confirmed in this experiment.

SDS adsorption on silica can also impact the dispersion stability. Figure 5-7 shows the

particle size distribution of Stöber silica without and with SDS 12 hours after the pH was

changed to 2. Without SDS, there was an additional peak due to particle coagulation since the

Page 92: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

92

isoelectric point (IEP) of the silica particle is known to be around pH 2.7. However, with SDS

addition, no additional peaks were observed.

In summary, SDS adsorption behavior at low concentration was small due to electrostatic

repulsion, however, limited adsorption was observed due to hydrogen bonding. At intermediate

concentrations, it was hypothesized that sodium ion mediated charge neutralization along with

hydrophobic attractive force resulted in higher adsorption of SDS. The slope of the adsorption

density decrease is attributed to bilayer formation. Adsorption free energy calculations and zeta

potential measurements as a function of SDS concentration were supportive of the proposed

hypothesis. It was observed that SDS adsorption on silica surface resulted in a stable dispersion.

Page 93: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

93

Figure 5-1. Adsorption isotherm of SDS on colloidal silica (Klebosol 1501-50, 12 wt%) at pH 10.4. Critical hemimicelle concentration (HMC) and critical micelle concentration (CMC) was marked.

100 101 102

10-8

10-7

10-6

pH 10.4

HMC

IVIII

II

I

CMC

Adso

rptio

n de

nsity

(mol

/m2 )

Bulk Equilibrium SDS Concentration (mM)

Page 94: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

94

Figure 5-2. Adsorption density of SDS on colloidal silica (12 wt% Klebosol 1501-50) at SDS concentration of 1.6 mM and 16 mM and zeta potential as a function of pH.

2 4 6 8 100.0

2.0x10-8

4.0x10-8

2.0x10-6

2.2x10-6

2.4x10-6

2.6x10-6

2 4 6 8 10-100

-80

-60

-40

-20

0

Zeta

pot

entia

l (m

V)

Ads

orpt

ion

Den

sity

(mol

/m2 )

pH

1.6 mM SDS 16 mM SDS

Zeta potential

Page 95: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

95

Figure 5-3. Zeta potential of Klebosol slurry as a function of SDS concentration at pH 10.4.

-74

-73

-72

-71

-70

-69

-68

-67

-66

-65

100 101 102

Klebosol 12 wt%

no Salt

Zeta

pot

entia

l (m

V)

Concentration of SDS (mM)

pH 10.4

IV

III

II

I

1 mM NaCl

Page 96: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

96

Figure 5-4. Pictorial depictions of the possible surfactant aggregates films at concentrations corresponding to I-IV in Figure 5-3.

Na Na Na Na Na Na

SSiiOO22

Na Na Na Na Na Na Na Na Na

SSiiOO22

Na Na Na Na Na Na Na Na Na Na Na Na Na

SSiiOO22

Na Na Na Na Na Na Na Na Na Na Na Na Na

SSiiOO22 Na

I

II

IV

III

Page 97: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

97

Figure 5-5. Adsorption characteristics of SDS on Klebosol silica slurry and zeta potential as a function of concentration of SDS at pH 10.4.

100 101

10-8

10-7

10-6

-72.5

-72.0

-71.5

-71.0

-70.5

-70.0

-69.5

-69.0

HMC

CMC

Ads

orpt

ion

Den

sity

(mol

/m2 )

Bulk Equilibrium SDS Concentration (mM)

Adsorption density

pH 10.4 IVIII

II

I

Zeta potential

Page 98: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

98

Figure 5-6. FTIR/ATR Spectra of SDS solution at 1, 2.5, 5 and 10 mM bulk concentration in the CH2 stretching region (2921, 2924) measured at pH 10.4 using Si ATR crystal.

3100 3000 2900 2800 2700

Wavenumber (cm-1)

0.000

0.002

0.004

0.006

0.008

0.010

0.012 pH 10.4SDS

1mM 2.5mM 5mM 10mM

log

(1/R

)

Page 99: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

99

Figure 5-7. Particle size distribution of Geltech SiO2 at pH 2 with and without 16 mM SDS 12 hours after pH change.

0.5 1.0 1.5 2.0 2.5 3.0

0

5

10

15

20

25

30pH 2

D

iffer

entia

l vol

ume

(%)

Particle size (μm)

without SDS with SDS

Page 100: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

100

CHAPTER 6 APPLICATION OF DENSITY FUNTIONAL THEORY BASED MODELING FOR

SURFACTANT ADSORPTION STUDY

There have been numerous modeling efforts to develop reliable tools for predicting

colloidal systems behavior. There are two broad areas of modeling for investigating the structure

of molecules and their reactivity: molecular mechanics and electronic structure theory. They

perform the same basic calculations: i) compute the energy of a particular molecular structure

and ii) geometry optimization to produce the lowest energy molecular structure [97]. In addition,

electronic structure model is capable of calculating vibrational frequencies of molecules resulting

from interatomic motion.

Molecular mechanics based models use the laws of classical physics, and each one is

characterized by its particular force field. In general, it does not explicitly treat the electrons in a

molecule. They perform computations based on the interactions among the nuclei, while

interactions involving electrons are implicitly included in force fields through parameterization.

This approximation enables the molecular mechanics modeling to be fast and cost effective, and

applicable to large systems. However, it also has several limitations, e.g., each force field is

system specific, and it is unable to calculate chemical problems where electronic effects

predominate (i.e. bond formation and breakage), since interactions among electrons are neglected

[97].

Electronic structure methods use the laws of quantum mechanics. There are two major

classes in the area, i) semi-empirical methods such as AM1 and PM3, which utilize parameters

derived from experimental data, ii) ab initio methods, which utilize no experimental parameters,

instead, computations are based solely on the laws of quantum mechanics and the values of

several physical constants. Semi-empirical calculations are relatively inexpensive and produce

Page 101: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

101

reasonable qualitative descriptions, while ab initio modeling can provide high quality

quantitative predictions for a broad range of systems [97].

Recently, newly developed electronic structure methods termed density functional theory

(DFT) methods, have been widely used. DFT methods are attractive since they include the

effects of electron correlation, while pure ab initio methods take it into account in an average

sense. In general, electronic structure methods are known to require high computational time and

are relatively costly. Many efforts to model colloidal systems use molecular mechanics methods,

since the system involves relatively large molecules or molecules/particles in water, which is too

large to be calculated by electronic structure model [98, 99]. However, electronic structure

methods are also applied to colloidal systems in many cases due to their ability to produce FTIR

and Raman spectra and that they can be used without parmeterization-a must have for molecular

mechanics modeling [100-102].

In this study, DFT method was applied to theoretically calculate adsorption and compare

it with the experimental data.

Methodologies

DFT methods compute electron correlation via general functionals of the electron density.

DFT functionals partition the electronic energy into several components which are computed

separately: the kinetic energy, the electron-nuclear interaction, the Coulomb repulsion, and an

exchange-correlation term accounting for the remainder of the electron-electron interactions.

Various DFT methods are distinguished by the way that they treat the exchange and correlation

term. In addition, there are several hybrid functionals, which combines the component of ab

initio method and DFT methods. Among them the best of these hybrid functionals is Becke-style

3-Parameter Density Functional Theory using the Lee-Yang-Parr correlation functional (B3LYP).

This method has proven to be superior to the traditional functionals in terms of computational

Page 102: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

102

cost and accuracy of predicting experimental results. Due to these advantages, B3LYP method

was utilized throughout the current study [97].

Another important component of the theoretical calculation by electronic structure

method is basis set. Basis set is the mathematical description of the orbitals within a system used

to perform the theoretical calculation. Standard basis sets for electronic structure calculations use

linear combinations of Gaussian functions to form orbitals. Basis sets assign a group of basis

functions to each atom within a molecule to approximate its orbitals. There are several basis sets

[97]:

• Minimal basis sets (STO-3G): they contain the minimum number of basis functions needed for each atom. They use fixed size atomic-type orbitals and three Gaussian primitives per basis function.

• Split valence basis sets (3-21G and 6-31G): they increase the number of basis functions per atom. Split basis sets have two or more sizes of basis function for each valence orbital. They allow orbitals to change size, but not to change shape.

• Polarized basis sets (6-31G* (6-31G(d)) and 6-31G** (6-31G(d,p))): they allow orbitals to change shape. They add d functions to carbon atoms and f functions to transition metals. 6-31G(d) basis set, which adds d function to heavy atoms is becoming very common for calculations involving up to medium-sized systems. Another popular basis set is 6-31G(d,p). It adds p functions to hydrogen atoms in addition to the d functions on heavy atoms.

In the current study, medium sized 6-31G* basis set was utilized for both optimization, single

point energy calculation, and frequency calculation in the current study, considering calculation

time.

The most unique and useful advantage of the electronic structure methods over molecular

mechanics model is its ability to do frequency calculation. It can serve a number of different

purposes, such as to predict Raman and IR spectra of molecules and to produce thermodynamic

properties such as free energy, enthalpy and entropy of the system. Energy calculations and

geometry optimizations discussed so far ignore the vibrations in molecular system, which is not

Page 103: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

103

true of real systems. In geometrically optimized states, these vibrations are regular and

predictable, and molecules can be identified by their characteristic spectra. Specifically, it can

predict the direction and magnitude of the nuclear displacement that occurs when a system

absorbs a quantum of energy. In addition, all frequency calculations include thermodochemical

analysis of the system. By default, it is carried out at 298.15 K and 1 atmosphere of pressure.

Gaussian ’03, which is used in the present study provides thermal correction for enthalpy and

free energy through frequency calculation. Using the sums of electronic and thermal enthalpies

and electronic and thermal free energies, enthalpies and free energies of reactions can be

calculated for a model system as described below [103].

1)-(6DCBA +=+

2)-(6))H()H(()H()H(

)H()H()K298(H

BcorroAcorroDcorroCcorro

tstanreaccorroproductscorroo

r

+++−+++=

+−+= ∑∑εεεε

εεΔ

3)-(6))G()G(()G()G(

)G()G()K298(G

BcorroAcorroDcorroCcorro

tstanreaccorroproductscorroo

r

+++−+++=

+−+= ∑∑εεεε

εεΔ

where, εo is the total electronic energy, Hcorr and Gcorr are thermal correction of enthalpy and

free energy, respectively produced through frequency calculations. The above method works

since the number of atoms of each element is the same on both sides of the reaction, hence all the

atomic interactions cancel out, requiring only molecular data for calculation. Adsorption free

energy presented in the current study was calculated using the above methods.

The above calculations are carried out in vacuum. The properties of molecules and

transition states can differ considerably between the gas phase and in solution. For example,

electrostatic effects are much less important for species placed in a solvent with a high dielectric

constant than they are in the gas phase. There are methods developed to incorporate the solvent

effects. All models consider the solvent as a continuum of uniform dielectric constant ε and the

Page 104: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

104

uniform reaction field. The solute is placed into a cavity within the solvent. The effect of

polarization of the solvent continuum is determined numerically [97].

The optimization of each molecule and complex structure was done using 6-31G* B3LYP

self-consistent field (SCF) computation. For complex structures, adsorbent and adsorbate were

placed close to each other, around 5 Å initially. After optimization, according to the interactions

between two molecules, the bond length and angle between them was adjusted. Single point

energy and adsorption free energy calculations were done after structure optimization in vacuum

state. Adsorption (electronic) energy of the complex is calculated by the following equation:

4)-(6)EE(EE ASSAads +−=

where, ESA is the energy of the complex structure of adsorbate-adsorbent pair, and Es and EA are

the energies of the constituent molecules. Frequency calculation was conducted to calculate

adsorption free energy. Single point energy calculation by polarized continuum model (PCM)

was performed on optimized model system in vacuum to calculate the energy in aqueous

environment.

Structures and Resources

The basic molecular structures used in this research are shown in Figure 6-1. To model

silica and silicon nitride surface, SiO4 and SiN4 tetrahedral units were used, which are the

minimal building blocks of silica and silicon nitride. There are several reasons for selecting these

structures, firstly simple structure can save time and computational resources, secondly, as the

structures become complicated, optimization with 6-31G* basis set was not always possible. The

importances of the frequency calculation are discussed in detail in a later section. The

optimization of the structure is the prerequisite for frequency calculation. It was one of the most

important considerations for the current study. Additionally, when a complicated structure (i.e. 1-

Page 105: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

105

dimensionally bonded five tetrahedrons of silica) was used, deformation of original structure

occurred as a result of optimization providing multiple bonding sites with other molecules, which

is not possible in a real system. Even though there are multiple bonding sites, calculated

adsorption energy values had the same order of magnitude. Finally, materials for the

experimental study are amorphous, which have only short range order individual tetrahedron is

bonded to each other randomly. Therefore, actual repeat unit of silica and silicon nitride can be

considered as individual tetrahedron.

The basic structure used in this study consists of one Si and four oxygens for silica and one

Si and four nitrogens for silicon nitride. All oxygens and nitrogens are protonated to represent

the condition at isoelectric point (IEP). To simulate different pH values, individual tetrahedron

was deprotonated or protonated to be positively or negatively charged.

Zhmud et al. reported similar approach using ab initio, Hartree-Fock (HF) method on

clusters of β-Si3N4 and β-cristobalite structures and nitrogen gas as an adsorbate [102].

Although they were able to successfully correlate the experimental results and theoretical

prediction, their adsorption energy values were all positive due to non-equilibrium structures.

There also have been reports using simple structure similar to the current study [104, 105] that

were successful in prediction of FTIR spectra with small deviations from experimental results,

and can be considered to be in support of our approach using minimal sized structures.

Sodium dodecyl sulfate (SDS) structure was used without any modification. Triton X-100

(TX-100, C14H22O(C2H4O)n, n ~ 10) structure was simplified to n = 1 to reduce calculation time.

All the computations for the current study were done by Gaussian ’03 on a node of 4 AMD

Opteron Cores (2 x 275, 2.2GHz) with 4 GB DDR400 RAM in Unix system. Computational time

Page 106: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

106

varied according to the complexity of the system. Usually, it took several hours for optimization

and frequency calculation for complex structure of surface and surfactants.

Results and Discussion

After structure optimization, the bond length of Si-O in Si(OH)4 and Si-N in Si(NH2)4 was

measured to be around 1.660 Å and 1.746 Å, respectively. Experimentally determined values for

the bonds were reported to be 1.6041 ~ 1.6066 Å and 1.704 ~ 1.767 Å for quartz and β-silicon

nitride, respectively [106, 107]. It appears that despite the use of minimal structure, the

optimized bond length values are comparable to the crystallographic data. This may be attributed

to the high symmetry structures used in the current study.

Electronic energies for different complex molecular structures were calculated in vacuum,

in water were using polarizable continuum model (PCM), and the Gibbs adsorption free energies

were calculated with the method described before. These data are presented in Table 6-1, and the

experimental values are listed in Table 6-2. Due to the lack of data for Triton X-100 (TX-100),

adsorption free energy was calculated from the data reported by Denoyel and co-workers [108].

In further discussion, sodium dodecyl sulfate (SDS) surfactant will be denoted as Na+ and

DS- to describe the dissociated state in aqueous solution. Negative energy values indicate that

interaction (adsorption) between two molecular species is energetically favorable and vice versa.

Calculated adsorption free energy, which considers electronic vibrational motion at room

temperature, showed values similar to the electronic energy calculated in vacuum at O oK

implying that the contributions from the motion and elevated temperature are very small. In

contrast, PCM correction resulted in significant effect on the energy values. As mentioned in the

methodologies section, polarizable water medium reduced the energy significantly providing

data more comparable to the experimental results.

Page 107: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

107

SDS Adsorption on Silica at, below, and above the Isoelectric Point (IEP)

Initially, Si(OH)4 at isoelectric point (IEP) of silica and in presence of surfactant (DS-) was

simulated. After structure optimization, DS- adsorbed on neutral Si(OH)4, forming a bond

between one of the oxygens in surfactant and a hydrogen on silica. It was found that a relatively

weak bond (Eads(PCM) = -8.46 kcal/mol) is responsible for the adsorption and equilibrium bond

length was 1.881 Å (Figure 6-2). The low energy values or weak interactions indicate that

electrostatic force or hydrogen bonding is responsible for adsorption. However, considering that

the surface neutrality, it may be attributed to the hydrogen bonding. When compared to the

experimental results, PCM energy calculation resulted in the same order of magnitude but much

higher value. This could be due to an intrinsic error when electronic structure method deals with

low energy interactions.

Below IEP of silica, when SiO4H5+ interacts with DS-, electrostatic attraction as well as

hydrogen bonding is plausible (Figure 6-3). The additional hydrogen is more tightly bonded to

the DS- than the surface. The bond length was calculated to be about 1.001 Å. The bond length

of hydrogen bonding was calculated to be 1.895 Å, which is similar to the neutral surface. Due to

these two interactions, the calculated PCM energy was much higher (-20.97 kcal/mol) than the

neutral surface at IEP. However, experimentally the difference was not noticeable, since the

measured zeta potential had a negative value even at pH 2. It is likely that in a real system,

SiO4H5+ is difficult to exist and neutral Si(OH)4 (considered to be neutral silanol group) is the

major surface species at low pH.

Above IEP of silica, when SiO4H3- interacts with DS-, structure optimization was not

possible since both of the surfaces and DS- molecules kept diverging away from each other to

lower the energy of the system (Figure 6-4). This must be caused by the electrostatic repulsion

between them. Although the energy is not calculated from optimized structure, the positive

Page 108: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

108

energy value calculated in vacuum indicates that the adsorption is not favorable in this case. Due

to the non-equilibrium structure, PCM energy showed slightly negative value, however the final

geometry clearly indicates that the adsorption is not favorable. Calculated energy from the

experimental data was also positive (above IEP at SDS concentration of 1.6 mM at pH 10.4) and

is similar to the theoretical prediction.

The interaction behavior dramatically changed when Na+ ion was introduced into the

system (Figure 6-5). Sodium ion resided between two species resulting in an equilibrium

complex structure. The two molecules formed tighter bond than hydrogen boding and the energy

(-22.05 kcal/mol) was similar to the value from attractive electrostatic interactions below IEP.

Sodium ion was found to be more tightly bonded to the surface. The bond length between Na+

and O- on surface was around 2.184 Å and that of Na+ and O- in DS- was around 2.34 ~ 2.36 Å.

SDS Adsorption on Silicon Nitride at IEP

Current theoretical approach was attempted to predict selective adsorption of surfactant

onto a specific surface. For this purpose, silicon nitride tetrahedron structure was constructed and

protonized for charge neutrality (Figure 6-6). In the case of silicon nitride surface, optimization

of ionized structure was not possible probably due to instability of the structures. Therefore,

comparison between with neutral silicon nitride and silica at IEP is attempted.

At IEP of silicon nitride, when Si(NH2)4 interacts with DS-, hydrogen bonding occurred

with a bond length of 2.158 Å. Calculated PCM energy was determined slightly lower (-8.29

kcal/mol) than with Si(OH)4. This trend is not consistent with the experimental results, where

silicon nitride showed higher adsorption density at pH 2. Regarding this discrepancy, it should be

noted that the current modeling is to simulate the interactions of surfactant and the representative

of the major surface reactive sites under given conditions. In reality, each surface has a different

charge density due to different number of active sites constituting the surface.

Page 109: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

109

TX-100 Adsorption on Silica at IEP

To verify the applicability of the current approach to another surfactant, adsorption of TX-

100, a nonionic surfactant, onto neutral Si(OH)4 was investigated (Figure 6-7). It is well known

that TX-100 adsorbs onto a silica surface at different pH levels via hydrogen bonding [108].

After structure optimization, they were determined to form equilibrium complex structure. Low

values of calculated PCM energy indicate formation of hydrogen bonding on the same order of

magnitude as the experimental results. The bond length between oxygen in SiOH4 to hydrogen in

TX-100 and oxygen in TX-100 to hydrogen in SiOH4 was measured to be 1.9 Å and 1.849 Å,

respectively, similar to the value of DS- and SiOH4.

It is known that the electronic structure method is not capable of accurately describing low

energy interactions [101, 109]. Consequently, calculated energy values from the DFT method are

usually overestimated [109]. In addition, calculated intermolecular interactions are also

overestimated due to the basis set superimposition error (BSSE) [102]. These intrinsic errors

seem to also exist in the current study. Various approaches have been attempted to reduce the

calculation errors. Volkov et al. reported that electrostatic energy can be successfully calculated

with minimum error when DFT B3LYP was used with higher order basis sets. To reduce BSSE,

counterpoise (CP) correction, which calculates each of the units using just the basis functions of

the other, has been reported to be successful [102]. To refine our current modeling study, these

elements needs to be incorporated to give more realistic energy values. The ideal setting would

be frozen surfaces that do not change their geometry after optimization, with multiple surface

sites, using B3LYP and a higher order basis set than 6-31G*, with CP correction for calculating

complex structures. However, this may significantly increase computational costs. Overall, the

current relatively simple setting has been shown to describe the given system with reasonable

success.

Page 110: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

110

In summary, electronic structure method B3LYP with 6-31G* basis set was applied to

describe the interaction of molecules with different surfaces. Among various energy values

calculated, PCM energy, which takes into account the presence of solvent (water), provided the

most realistic results. It was found that adsorption of DS- onto neutral silica (at IEP) and silicon

nitride occurs via hydrogen bonding, and the positively charged silica surface and DS- (below

IEP) resulted in a stronger adsorption energy due to electrostatic attraction. The adsorption of

DS- onto negatively charged silica surface (above IEP) was not energetically favorable. However,

the introduction of Na+ greatly facilitated these interactions yielding similar adsorption energy

values as the electrostatic attraction. Adsorption of DS- onto the neutral silicon nitride surface

was also attempted. It was found that adsorption is energetically favorable via hydrogen bonding,

however, calculated values did not successfully correlate to the selectivity of surfactant

adsorption measured experimentally. To verify the validity of the current approach, adsorption

behavior of another surfactant, TX-100, onto neutral silica was also investigated. It was

determined that the adsorption was favorable via hydrogen bonding and the theoretical

predictions agree well with the experimental results reported for this system. Computational

optimization of the structures in the presence of solvent, and the interactions of surfactant with

the resultant surface systems, is required to more realistically simulate systems of practical

significance.

Page 111: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

111

Table 6-1. Adsorption energy (kcal/mol) calculated by density functional theory (DFT) based

method (B3LYP) using 6-31G* basis set. All the values were obtained after optimization with the same basis set. Eads (Vacuum) is the electronic energy calculated in vacuum, Eads (PCM) is the electronic energy calculated with polarizable continuum model (PCM) correction, which considers the effect of water solvent, and ΔGads (Vacuum) is the adsorption free energy calculated in vacuum.

SiO2 Si3N4

pH Condition at IEP below IEP above IEP at IEP at IEP

Complex Si(OH)4 /DS-

SiO4H5+

/DS- SiO4H3

-

/DS- SiO4H3

-

/Na+/DS- Si(OH)4 /TX-100 Si(NH2)4

/DS-

Eads (Vacuum) -30.05 -142.73 4.87 -63.61 -12.72 -16.76

Eads (PCM) -8.46 -20.97 -0.56 -22.05 -8.20 -8.29

ΔGads (Vacuum) -17.15 -128.44 N/A -52.55 -0.91 -5.95

Page 112: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

112

Table 6-2. Adsorption free energy (kcal/mol) of SDS on silica calculated from adsorption density

data in Ch. 5 at different pH and two different added concentrations (1.6mM and 16mM). The energy of Triton X-100 (TX-100) on silica at pH 2.2 calculated from data in Ref. [108].

SDS TX-100 ΔGads (kcal/mol)

pH 2 pH 3 pH 10.4 pH 2.2

1.6 mM -1.17 -1.16 0.018

16 mM -3.59 -3.59 -3.14 -2.79

Page 113: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

113

Figure 6-1. Optimized (a) Si(OH)4, (b) Si(NH2)4, (c) Sodiumdodecyl sulfate (SDS), and (d) Triton X-100 (TX-100) structure using B3LYP method and 6-31G* basis set.

(a) (b)

(c)

(d)

Page 114: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

114

Figure 6-2. Optimized SiOH4 and DS- complex structure using B3LYP method and 6-31G* basis set.

Page 115: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

115

Figure 6-3. Optimized SiOH5+ and DS- complex structure using B3LYP method and 6-31G*

basis set.

Page 116: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

116

Figure 6-4. Sturcture of SiO4H3- and DS- complex. Optimization is not complete, since two

molecules are being separated to decrease energy.

Page 117: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

117

Figure 6-5. Optimized SiO4H3-, Na+, and DS- complex structure using B3LYP method and 6-

31G* basis set.

Page 118: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

118

Figure 6-6. Optimized Si(NH2)4 and DS- complex structure using B3LYP method and 6-31G* basis set.

Page 119: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

119

Figure 6-7. Optimized SiOH4 and TX-100 complex structure using B3LYP method and 6-31G* basis set.

Page 120: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

120

CHAPTER 7 CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Conclusions

Colloidal silica, exhibiting high dispersion stability in the pH range of 2 to 11, was utilized

to develop a high selectivity slurry. The addition of SDS at pH 2 resulted in more than ten times

higher selectivity than conventional slurry. Additionally, AFM roughness measurements

indicated acceptable surface finish. Adsorption density measurements revealed that there is

preferentially higher adsorption of SDS on silicon nitride possibly due to more favorable

electrostatic interactons as compared to silica. SDS adsorption behavior is believed to result in

differential passivation (lubrication) and hence, lower polishing efficiency of silicon nitride as

compared to silica. The CMP characteristics examined as a function of added SDS concentration

showed that the decrease in MRR and increase in selectivity leveled off at about twice the

surfactant CMC and remained unchanged thereafter. Surfactant requirement appears to be driven

primarily by adsorption on silica abrasive particles. It appears that selective surfactant

passivating coatings on substrates can yield higher selectivity without any adverse impact on

surface finish. Attempts to economize on surfactant amount revealed that longer alkyl chain

length surfactants yielded higher selectivity at a lower dosage. However, the addition of long

chain length alcohols as a substitute for the surfactant resulted in lower selectivity possibly due

to passivation of silica. Mixed ionic and nonionic surfactant systems resulted in poor selectivity

due to larger decrease in MRR of silica and smaller decrease for silicon nitride. These findings

can be used as a guide for developing selective polishing CMP slurry.

In order to find an alternative method to achieve high selectivity, the effect of different salt

addition on CMP performance was investigated. Passivation (lubrication) effect by hydrated

cations was found not to be a dominant factor in determining MRR. However, slurry with LiCl

Page 121: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

121

yielded lower MRR than one with CsCl, suggesting that passivation by hydrated cations is

dependent on the nature of the added ions.

Attempts to study the role of materials properties in STI CMP using a colloidal silica slurry

indicated that differences in Young’s modulus of the substrate materials play an important role in

MRR since modulus is related to the bond strength of the materials.

Electrostatic repulsive forces imposed by pH change in the slurry plays a dominant role in

determining MRR of silica and silicon nitride substrates. These interactions were also

manipulated by monovalent salt addition to the slurry. A linear relationship between MRR and

electrostatic forces implies that such repulsive interactions probably resulted in governing the

number of particles engaged in the polishing process.

In order to assess the effect of dissolution in CMP, dissolution studies were conducted by

measuring thickness changes of substrates immersed in 0.1 M NaOH solution. The dissolution

rate of silica was found to be much higher than that of silicon nitride. However, it was too low to

make any significant contribution to MRR. It seems that the attack of hydroxyl ions at high pH

levels is responsible for the high MRR and poor surface finish due to formation of a softer top

layer.

SDS adsorption behavior on silica was investigated systematically. At low concentration,

SDS adsorption was opposed by electrostatic repulsion, however, some adsorption did occur and

was attributed to the hydrogen bonding. At intermediate concentrations, it was hypothesized that

sodium ions mediated charge neutralization along with hydrophobic attractive forces resulted in

higher adsorption density. Adsorption free energy calculations and zeta potential measurements

as a function of SDS concentration seem to support the proposed mechanism. It was observed

Page 122: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

122

that SDS adsorption on silica surface, even at a pH below its IEP, resulted in stable dispersion.

FTIR/ATR measurements confirmed the adsorption of SDS on silica substrate.

The electronic structure modeling method B3LYP with the 6-31G* basis set was applied to

assess the interaction of molecules with different surfaces. Among various energy values

calculated, PCM energy, which takes into account the presence of solvent (water), provided the

most relevant results. It was found that adsorption of DS- onto neutral silica (at IEP) and silicon

nitride occured via hydrogen bonding and interaction between positively charged silica surface

and DS- (below IEP) resulted in higher adsorption energy due to electrostatic attraction. The

adsorption of DS- onto negatively charged silica surface (above IEP) was not energetically

favorable. However, the introduction of Na+ greatly facilitated these interactions yielding

adsorption energy values similar to those of the electrostatic attraction. Adsorption of DS- onto

the neutral silicon nitride surface was also attempted. It was found that adsorption is

energetically favorable via hydrogen bonding, however, calculated values did not successfully

correlate with the selectivity of surfactant adsorption as measured experimentally. To validate

the current modeling approach, adsorption behavior of another surfactant, TX-100 (nonionic),

onto neutral silica was investigated. It was determined that the adsorption occurred via hydrogen

bonding and theoretical predictions agreed with the experimental results for this system.

Additional efforts such as computational optimization of structures in the presence of solvent and

interactions of surfactant with the resultant surface systems are needed to simulate practical

systems.

Suggestions for Future Work

Frictional force measurements using lateral force microscopy are needed to investigate and

optimize the formation of surfactant mediated selective passivation coatings. The effectiveness

of the high selectivity slurry developed in the current study has been limited to chemical

Page 123: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

123

mechanical polishing around pH 2. Development of robust high selectivity slurry for CMP at

higher pH levels is needed for practical applications. It has been well documented that alkali

metal introduced to semiconductor device can be a source of device failure. The high selectivity

slurry developed in the present investigation involves sodium ions, which can be a reason for

device failure. To avoid this possibility, application of ammonium based surfactants is suggested.

Besides surfactants, there are a number of other potential passivating agents such as

polymers, surface complexing agents, and proteins that exhibit preferential adsorption on

specific substrates. These materials may be good candidates for developing high selectivity

slurry for applications over a wide pH range. In addition, applications of high selectivity slurry

can be extended to other CMP system such as copper, low k materials (i.e. porous silica, Cu-

doped silica, etc.) for high speed devices, and high k materials (Hf based metal) for sub 45 nm

devices. When surfactants and polymers are used to develop passivations layers, it needs to be

ensured that there are no residual molecules left after the cleaning process. Application of a

passivating agent concept to high selectivity abrasives, e. g., ceria, is recommended. In this

application, since ceria is highly positively charged under the pH conditions suggested,

surfactant may preferentially coat the abrasive particles.

Quantitative investigation of the number of abrasive particles participating in the polishing

process is also recommended for reliable prediction of MRR.

With regard to modeling efforts, a systematic study using different methods, basis sets, and

other corrections, such as CP method, is recommended to refine current modeling approach for

colloidal systems. Increase in the size of molecules is recommended to accommodate cluster

effects. A method that can deal with flat surfaces with reactive atoms is recommended. In the

proposed method, optimization of structure occurs only on the surface and not under the surface

Page 124: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

124

in a way to maintain the surface structure. To develop a predictive tool for selective adsorption

of surfactant, molecular mechanics based modeling is recommended, since it can incorporate

effects of individual water molecules and zeta potential of the surface. Molecular mechanics has

advantages for systems involving weak interactions (physical adsorption) and the electronic

structure method has advantages for strong interactions from chemical adsorption. Therefore, a

combination of both modeling methods is recommended to develop guidelines for formulating

selectively polishing CMP slurries.

Page 125: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

125

LIST OF REFERENCES

[1] ITRS (2007) International Technology Roadmap for Semiconductors 2006 Update Overview and Working Group Summaries. http://www.itrs.net/Links/2006Update/FinalToPost/00_ExecSum2006Update.pdf.

[2] Moore, G.E. (February, 2003): No Exponential is Forever.but We Can Delay 'Forever'. In International Solid State Circuits Conference (ISSCC), San Francisco, CA, pp. 1-19.

[3] Stix, G. (1997) Under the wire. Scientific American 276: 38.

[4] Evans, D. (2002) The future of CMP. MRS Bulletin 27: 779-783.

[5] Murarka, S.P. (2000): Directions in the Chemical Mechanical Planarization research. In Mat. Res. Soc. Symp., pp. 3-11.

[6] Singh, R.K., Bajaj, R. (2002) Advances in chemical-mechanical planarization. MRS Bulletin 27: 743-751.

[7] Sorooshian, J., Borucki, L., Timon, R., Stein, D., Boning, D., Hetherington, D., Philipossian, A. (2004) Estimating the Effective Pressure on Patterned Wafers during STI CMP. Electrochemical and Solid-State Letters 7: G204-G206.

[8] Boning, D., Lee, B. (2002) Nanotopography issues in shallow trench isolation CMP. MRS Bulletin 27: 761-765.

[9] Peters, L. (April 1999) Choices and Challenges for Shalllow Trench Isolation. Semiconductor international: http://www.reed-electronics.com/semiconductor/article/CA164565?pubdate=164564%164562F164561%164562F161999.

[10] Zhao, E., Xu, C.S. (June 2001) Direct CMP for STI. Semiconductor International: http://www.reed-electronics.com/semiconductor/article/CA151781?pubdate=151786%151782F151781%151782F152001.

[11] Bonner, B.A., Iyer, A., Kumar, D., Osterheld, T.H., Nickles, A.S., Flynn, D. (March 2001): Development of a Direct Polish Process for Shallow Trench Isolation Modules. In CMP-MIC.

[12] Kim, S.Y., Lee, K.J., Seo, Y.J. (2003) In-situ end point detection of the STI-CMP process using a high selectivity slurry. Microelectronic Engineering 66: 463-471.

[13] Martin, A., Spinolo, S., Morin, S., Bacchetta, M., Figerio, F., Bonner, B.A., Mckeever, P., Tremolada, M., A., I. (2003): The Development of a Direct-Polish Process for STI CMP. In Mat. Res. Soc. Symp, pp. F5.10.11-F15.10.16.

Page 126: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

126

[14] Singh, R.K., Lee, S.M., Choi, K.S., Basim, G.B., Choi, W.S., Chen, Z., Moudgil, B.M. (2002) Fundamentals of slurry design for CMP of metal and dielectric materials. MRS Bulletin 27: 752-760.

[15] Schlueter, J. (October 1999) Trench Warfare: CMP and Shallow Trench Isolation. Semiconductor International: http://www.reed-electronics.com/semiconductor/article/CA169382?pubdate=169310%169382F169381%169382F161999.

[16] Jin, R.R., David, J., Abbassi, B., Osterheld, T., Redeker, F. (February 1999): A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts. In CMP-MIC, p. 314.

[17] Yu, C., Fazan, P.C., Mathews, V.K., Doan, T.T. (1992) Dishing Effects in a Chemical Mechanical Polishing Planarization Process for Advanced Trench Isolation. Applied Physics Letters 61: 1344-1346.

[18] Kim, K.H., Hah, S.R., Han, J.H., Hong, C.K., Chung, U.I., Kang, G.W. (2000): A Study of the Planarity by STI CMP Erosion Modeling. In Mat. Res. Soc. Symp., pp. 33-42.

[19] America, W.G., Srinivasan, R., Babu, S.V. (2000): The Influence of pH and Temperature on Polish Rates and Selectivity of Silicon Dioxide and Nitride Films. In Mat. Res. Soc. Symp., pp. 13-18.

[20] Fabtech (2005) High-performance CMP slurry for STI. http://www.fabtech.org/content/view/368/93/.

[21] Basim, G.B. (2002) Formulation of Engineered Particulate Systems for Chemical Mechanical Polishing Applications. University of Florida.

[22] Vakarelski, I.U., Brown, S.C., Rabinovich, Y.I., Moudgil, B.M. (2004) Lateral force microscopy investigation of surfactant-mediated lubrication from aqueous solution. Langmuir 20: 1724-1731.

[23] Bergstrom, L., Bostedt, E. (1990) Surface-Chemistry of Silicon-Nitride Powders - Electrokinetic Behavior and Esca Studies. Colloids and Surfaces 49: 183-197.

[24] Sonnefeld, J. (1996) Determination of surface charge density parameters of silicon nitride. Colloids and Surfaces a-Physicochemical and Engineering Aspects 108: 27-31.

[25] Sonnefeld, J. (1993) An Analytic-Expression for the Particle-Size Dependence of the Surface-Acidity of Colloidal Silica. Journal of Colloid and Interface Science 155: 191-199.

[26] Hackley, V.A. (1997) Colloidal processing of silicon nitride with poly(acrylic acid).1. Adsorption and electrostatic interactions. Journal of the American Ceramic Society 80: 2315-2325.

Page 127: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

127

[27] Liu, D., Malghan, S.G. (1996) Role of polyacrylate in modifying interfacial properties and stability of silicon nitride particles in aqueous suspensions. Colloids and Surfaces a-Physicochemical and Engineering Aspects 110: 37-45.

[28] Malghan, S.G. (1992) Dispersion of Si3N4 Powders - Surface Chemical Interactions in Aqueous-Media. Colloids and Surfaces 62: 87-99.

[29] Malghan, S.G., Premachandran, R.S., Pei, P.T. (1994) Mechanistic Understanding of Silicon-Nitride Dispersion Using Cationic and Anionic Polyelectrolytes. Powder Technology 79: 43-52.

[30] Yanez, J.A., Baretzky, B., Wagner, M., Sigmund, W.M. (1998) The adsorption of tri alkoxy silane on silicon nitride for colloidal processing. Journal of the European Ceramic Society 18: 1493-1502.

[31] Bergstrom, L. (1992) Surface-Chemistry of Silicon-Nitride Powders - Adsorption from Nonaqueous Solutions. Colloids and Surfaces 69: 53-64.

[32] Philipossian, A., Rogers, C., Lu, J. (2001): Tribology, Fluid Dynamics and Removal Rate characterization of Novel Slurries for ILD Polish Applications. In VMIC Conference, Santa Clara, CA.

[33] Hibi, Y., Enomoto, Y. (1995) Lubrication of Si3N4 and Al2O3 in Water with and without Addition of Silane Coupling Agents in the Range of 0.05-0.10 Mol/L. Tribology International 28: 97-105.

[34] Palla, B.J., Shah, D.O. (2002) Stabilization of high ionic strength slurries using surfactant mixtures: Molecular factors that determine optimal stability. Journal of Colloid and Interface Science 256: 143-152.

[35] Hu, Y.Z., Gutmann, R.J., Chow, T.P., Witcraft, B. (1998) Chemical-mechanical polishing for giant magnetoresistance device integration. Thin Solid Films 332: 391-396.

[36] Hu, Y.Z., Yang, G.R., Chow, T.P., Gutmann, R.J. (1996) Chemical-mechanical polishing of PECVD silicon nitride. Thin Solid Films 291: 453-457.

[37] Palla, B.J., Shah, D.O. (2000) Stabilization of high ionic strength slurries using the synergistic effects of a mixed surfactant system. Journal of Colloid and Interface Science 223: 102-111.

[38] Allen, L.H., Matijevi.E (1969) Stability of Colloidal Silica.I. Effect of Simple Electrolytes. Journal of Colloid and Interface Science 31: 287-&.

[39] Allen, L.H., Matijevi.E (1970) Stability of Colloidal Silica.2. Ion Exchange. Journal of Colloid and Interface Science 33: 420-&.

[40] Allen, L.H., Matijevi.E (1971) Stability of Colloidal Silica.3. Effect of Hydrolyzable Cations. Journal of Colloid and Interface Science 35: 66-&.

Page 128: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

128

[41] Depasse, J., Watillon, A. (1970) Stability of Amorphous Colloidal Silica. Journal of Colloid and Interface Science 33: 430-&.

[42] Langmuir, I. (1938) The role of attractive and repulsive forces in the formation of tactoids, thixotropic gels, protein crystals and coacervates. Journal of Chemical Physics 6: 873-896.

[43] Israelachvili, J., Wennerstrom, H. (1996) Role of hydration and water structure in biological and colloidal interactions. Nature 379: 219-225.

[44] Vigil, G., Xu, Z.H., Steinberg, S., Israelachvili, J. (1994) Interactions of Silica Surfaces. Journal of Colloid and Interface Science 165: 367-385.

[45] Cook, L.M. (1990) Chemical Processes in Glass Polishing. Journal of Non-Crystalline Solids 120: 152-171.

[46] Ito, S., Tomozawa, M. (1982) Crack Blunting of High-Silica Glass. Journal of the American Ceramic Society 65: 368-371.

[47] Nogami, M., Tomozawa, M. (1984) Effect of Stress on Water Diffusion in Silica Glass. Journal of the American Ceramic Society 67: 151-154.

[48] Chi-Wen, L., Bau-Tong, D., Wei-Tsu, T., Ching-Fa, Y. (1996) Modeling of the Wear Mechanism during Chemical-Mechanical Polishing. Journal of The Electrochemical Society 143: 716-721.

[49] Senden, T.J., Drummond, C.J. (1995) Surface-Chemistry and Tip Sample Interactions in Atomic-Force Microscopy. Colloids and Surfaces a-Physicochemical and Engineering Aspects 94: 29-51.

[50] Habraken, F.H.P.M., Kuiper, A.E.T. (1994) Silicon-Nitride and Oxynitride Films. Materials Science & Engineering R-Reports 12: 123-175.

[51] Callister, W.D.J.: Materials Science and Engineering: An Introduction. John Wiley & Sons, New York 1999.

[52] Choi, W., Lee, S.M., Singh, R.K. (2004) pH and down load effects on silicon dioxide dielectric CMP. Electrochemical and Solid State Letters 7: G141-G144.

[53] Holland, L.: The Properties of Glass Surfaces. Chapman and Hall, London 1964.

[54] Pietsch, G.J., Chabal, Y.J., Higashi, G.S. (1995) Infrared-Absorption Spectroscopy of Si(100) and Si(111) Surfaces after Chemomechanical Polishing. Journal of Applied Physics 78: 1650-1658.

[55] Yeruva, S.B. (2005) Paticle scale modeling of material removal and surface roughness in chemical mechanical polishing. University of Florida.

Page 129: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

129

[56] Mahajan, U. (2000) Fundamental studies on silicon dioxide chemical mechanical polishing. University of Florida.

[57] Tabor, D.: Microscopic aspects of adhesion and lubrication. Elsevier, New York 1982.

[58] Taran, E., Donose, B.C., Vakarelski, I.U., Higashitani, K. (2006) pH dependence of friction forces between silica surfaces in solutions. Journal of Colloid and Interface Science 297: 199-203.

[59] Qin, K., Moudgil, B., Park, C.W. (2004) A chemical mechanical polishing model incorporating both the chemical and mechanical effects. Thin Solid Films 446: 277-286.

[60] Wonseop, C., Jeremiah, A., Seung-Mahn, L., Rajiv, K.S. (2004) Effects of Slurry Particles on Silicon Dioxide CMP. Journal of The Electrochemical Society 151: G512-G522.

[61] Israelachvili, J.N.: Intermolecular and Surface Forces. Academic, New York 1991.

[62] Bouvet, D., Beaud, P., Fazan, P., Sanjines, R., Jacquinot, E. (2002) Impact ot the colloidal silica particle size on physical vapor deposition tungsten removal rate and surface roughness. Journal of Vacuum Science & Technology B 20: 1556-1560.

[63] Zhou, C.H., Shan, L., Hight, J.R., Danyluk, S., Ng, S.H., Paszkowski, A.J. (2002) Influence of colloidal abrasive size on material removal rate and surface finish in SiO2 chemical mechanical polishing. Tribology Transactions 45: 232-238.

[64] Choi, W., Mahajan, U., Lee, S.M., Abiade, J., Singh, R.K. (2004) Effect of slurry ionic salts at dielectric silica CMP. Journal of the Electrochemical Society 151: G185-G189.

[65] Iler, R.K.: The Chemistry of Silica. John Wiley & Sons, New York 1979.

[66] Hulett, G.: Solubility and Size of Particles. Chemical Catalog Co., New York 1926.

[67] Bressers, P.M.M.C., Kelly, J.J., Gardeniers, J.G.E., Elwenspoek, M. (1996) Surface morphology of p-type (100)silicon etched in aqueous alkaline solution. Journal of the Electrochemical Society 143: 1744-1750.

[68] Kovacs, G.T.A., Maluf, N.I., Petersen, K.E. (1998) Bulk micromachining of silicon. Proceedings of the Ieee 86: 1536-1551.

[69] Palik, E.D., Glembocki, O.J., Heard, I., Burno, P.S., Tenerz, L. (1991) Etching Roughness for (100) Silicon Surfaces in Aqueous Koh. Journal of Applied Physics 70: 3291-3300.

[70] Donose, B.C., Vakarelski, I.U., Higashitani, K. (2005) Silica surfaces lubrication by hydrated cations adsorption from electrolyte solutions. Langmuir 21: 1834-1839.

[71] Raviv, U., Klein, J. (2002) Fluidity of bound hydration layers. Science 297: 1540-1543.

Page 130: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

130

[72] Taran, E. (2006) Molecular-Scale Friction Characteristics of Silica Surfaces in High pH Solution Studied by Atomic Force Microscopy. Kyoto University.

[73] Bremmell, K.E., Fornasiero, D., Ralston, J. (2005) Pentlandite-lizardite interactions and implications for their separation by flotation. Colloids and Surfaces a-Physicochemical and Engineering Aspects 252: 207-212.

[74] Celik, M.S., Hancer, M., Miller, J.D. (2002) Flotation chemistry of boron minerals. Journal of Colloid and Interface Science 256: 121-131.

[75] Basim, G.B., Vakarelski, I.U., Moudgil, B.M. (2003) Role of interaction forces in controlling the stability and polishing performance of CMP slurries. Journal of Colloid and Interface Science 263: 506-515.

[76] Mohamed, M.M. (1996) Adsorption properties of ionic surfactants on molybdenum-modified silica gels. Colloids and Surfaces a-Physicochemical and Engineering Aspects 108: 39-48.

[77] Wu, Z., Lee, K., Lin, Y., Lan, X., Huang, L. (2003) Effect of surface-active substances on acid-base indicator reactivity in SiO2 gels. J. Non-Cryst. Solids 320: 168-176.

[78] Somasundaran, P., Huang, L. (2000) Adsorption/aggregation of surfactants and their mixtures at solid-liquid interfaces. Advances in Colloid and Interface Science 88: 179-208.

[79] Bruce, C.D., Berkowitz, M.L., Perera, L., Forbes, M.D.E. (2002) Molecular dynamics simulation of sodium dodecyl sulfate micelle in water: Micellar structural characteristics and counterion distribution. Journal of Physical Chemistry B 106: 3788-3793.

[80] Rosen, M.J.: Surfactants and Interfacial Phenomena. John Wiley & Sons, New York 2004.

[81] Patist, A., Oh, S.G., Leung, R., Shah, D.O. (2001) Kinetics of micellization: its significance to technological processes. Colloids and Surfaces a-Physicochemical and Engineering Aspects 176: 3-16.

[82] Philipossian, A., Mitchell, E. (2004) Mean Residence Time and Removal Rate Studies in ILD CMP. Journal of the Electrochemical Society 151: G402-G407.

[83] Zeng, X., Osseo-Asare, K. (2004) Partitioning behavior of silica in the Triton X-100/dextran/water aqueous biphasic system. Journal of Colloid and Interface Science 272: 298-307.

[84] Clark, S.C., Ducker, W.A. (2003) Exchange rates of surfactant at the solid-liquid interface obtained by ATR-FTIR. Journal of Physical Chemistry B 107: 9011-9021.

[85] Madejova, J. (2003) FTIR techniques in clay mineral studies. Vibrational Spectroscopy 31: 1-10.

Page 131: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

131

[86] Yokoyama, Y., Ishiguro, R., Maeda, H., Mukaiyama, M., Kameyama, K., Hiramatsu, K. (2003) Quantitative analysis of protein adsorption on a planar surface by Fourier transform infrared spectroscopy: lysozyme adsorbed on hydrophobic silicon-containing polymer. Journal of Colloid and Interface Science 268: 23-32.

[87] Harwell, J.H., Roberts, B.L., Scamehorn, J.F. (1988) Thermodynamics of Adsorption of Surfactant Mixtures on Minerals. Colloids and Surfaces 32: 1-17.

[88] Somasund.P, Fuersten.Dw (1966) Mechanisms of Alkyl Sulfonate Adsorption at Alumina-Water Interface. Journal of Physical Chemistry 70: 90-&.

[89] Wang, W., Kwak, J.C.T. (1999) Adsorption at the alumina-water interface from mixed surfactant solutions. Colloids and Surfaces a-Physicochemical and Engineering Aspects 156: 95-110.

[90] Goloub, T.P., Koopal, L.K. (1997) Adsorption of cationic surfactants on silica. Comparison of experiment and theory. Langmuir 13: 673-681.

[91] Chandar, P., Somasundaran, P., Turro, N.J. (1987) Fluorescence Probe Studies on the Structure of the Adsorbed Layer of Dodecyl-Sulfate at the Alumina-Water Interface. Journal of Colloid and Interface Science 117: 31-46.

[92] Leung, R., Shah, D.O. (1986) Dynamic properties of micellar solutions: I. Effects of short-chain alcohols and polymers on micellar stability. Journal of Colloid and Interface Science 113: 484.

[93] Ozdemir, O., Cinar, M., Sabah, E., Arslan, F., Celik, M.S. Adsorption of anionic surfactants onto sepiolite. Journal of Hazardous Materials In Press, Corrected Proof.

[94] Dines, T.J., MacGregor, L.D., Rochester, C.H. (2003) Adsorption of 2-chloropyridine on oxides - an infrared spectroscopic study. Spectrochimica Acta Part a-Molecular and Biomolecular Spectroscopy 59: 3205-3217.

[95] Guan, Y., Liu, Y., Wu, W., Sun, K., Li, Y., Ying, P., Feng, Z., Li, C. (2005) Dibenzodioxin Adsorption on Inorganic Materials. Langmuir 21: 3877-3880.

[96] Singh, P.K., Adler, J.J., Rabinovich, Y.I., Moudgil, B.M. (2001) Investigation of self-assembled surfactant structures at the solid-liquid interface using FT-IR/ATR. Langmuir 17: 468-473.

[97] Foresman, J.B., Frisch, A.: Exploring Chemistry With Electronic Structure Methods. Gaussian Inc., Pittsburgh, PA 1996.

[98] Derecskei-Kovacs, A., Derecskei, B., Schelly, Z.A. (1998) Atomic-level molecular modeling of the nonionic surfactant Triton X-100: The OPE9 component in vacuum and water. Journal of Molecular Graphics and Modelling 16: 206.

Page 132: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

132

[99] Dkhissi, A., Brocorens, P., Lazzaroni, R. (2006) Molecular mechanics study of the influence of the alkyl substituents on the packing of the conjugated PEDOT chains. Chemical Physics Letters 432: 167.

[100] Dominik, A., Tripathi, S., Chapman, W.G. (2006) Bulk and interfacial properties of polymers from interfacial SAFT density functional theory. Industrial & Engineering Chemistry Research 45: 6785-6792.

[101] Wu, X., Vargas, M.C., Nayak, S., Lotrich, V., Scoles, G. (2001) Towards extending the applicability of density functional theory to weakly bound systems. Journal of Chemical Physics 115: 8748-8757.

[102] Zhmud, B.V., Sonnefeld, J., Bergstrom, L. (1999) Influence of chemical pretreatment on the surface properties of silicon nitride powder. Colloids and Surfaces a-Physicochemical and Engineering Aspects 158: 327-341.

[103] Ochterski, J.W. (June 2, 2000) Thermochemistry in Gaussian. http://www.gaussian.com/g_whitepap/thermo/thermo.pdf.

[104] Andersson, M.P., Uvdal, P. (2002) The vibrational spectra of methyl groups in methylthiolate and methoxy adsorbed on Cu(100). Langmuir 18: 3759-3762.

[105] Andersson, M.P., Uvdal, P. (2005) New scale factors for harmonic vibrational frequencies using the B3LYP density functional method with the triple-xi basis set 6-311+G(d,p). Journal of Physical Chemistry A 109: 2937-2941.

[106] Glinnemann, J., King, H.E., Jr., Schulz, H., Hahn, T., la Placa, S.J., Dacol, F. (1992) Crystal structures of the low-temperature quartz-type phases of Si O2 and Ge O2 at elevated pressure. Zeitschrift fuer Kristallographie 198: 177-212.

[107] Grun, R. (1979) The crystal structure of [beta]-Si3N4: structural and stability considerations between [alpha]- and [beta]-Si3N4. Acta Crystallographica Section B 35: 800-804.

[108] Denoyel, R., Rouquerol, J. (1991) Thermodynamic (Including Microcalorimetry) Study of the Adsorption of Nonionic and Anionic Surfactants onto Silica, Kaolin, and Alumina. Journal of Colloid and Interface Science 143: 555-572.

[109] Volkov, A., King, H.F., Coppens, P. (2006) Dependence of the intermolecular electrostatic interaction energy on the level of theory and the basis set. Journal of Chemical Theory and Computation 2: 81-89.

Page 133: SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL …ufdcimages.uflib.ufl.edu/UF/E0/01/96/08/00001/bu_k.pdf · 2010. 5. 6. · Singh, Debamitra Duta, Stephen Tedeschi,

133

BIOGRAPHICAL SKETCH

Kyoung-Ho Bu was born on April 7, 1970 in South Korea. He graduated from Kyungnam

high school in Busan, Korea. He received his B.S. and M.S. degrees in inorganic materials

science and engineering from Seoul National University, Seoul, Korea in 1994 and 1996,

respectively. From 1996 to 1998, he was a research engineer in the Electronic Materials Division

of the Institute for Advanced Engineering (IAE), where he performed research in the fabrication

and characterization of Pb(ZrxTi1-x)O3 thin film micro-mirror arrays for projection display

applications. From 1999 to 2002, he was assistant manager in the plasma display panel (PDP)

R&D center of Orion Electric Co., Korea. His research interests were cell design and gas

discharge physics for high efficient plasma display.

In fall 2002, he started his Ph.D. study with Professor Brij Moudgil at the University of

Florida where he has worked at the Engineering Research Center for Particle Science and

Technology. His dissertation research concentrated on selective chemical mechanical polishing.

He graduated from the University of Florida with a doctorate degree in materials science and

engineering with electronic materials and particle science and technology specialties in May

2007.


Recommended