Congestion Estimation in Floorplanning

Post on 30-Dec-2015

40 views 3 download

description

Congestion Estimation in Floorplanning. Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM. Overview. Introduction Background Congestion Modeling Experimental Results Future Works. Introduction. Motivations: 80% of the clock cycle consumed by interconnects - PowerPoint PPT Presentation

transcript

Congestion Estimation in Floorplanning

Supervisor:

Evangeline F. Y. YOUNGby

Chiu Wing SHAM

Overview

IntroductionBackgroundCongestion ModelingExperimental ResultsFuture Works

IntroductionMotivations:

80% of the clock cycle consumed by interconnects

Interconnect optimization becomes the major concern in floorplanning

Appropriate interconnect estimation is required in floorplanning

Major Role of Floorplanning

Minimization of chip areaOptimization of interconnect cost

WirelengthTiming delayRoutability

Others:Heat dissipationNoise reductionPower consumption

Congestion Planning

Congestion planning is important to circuit designExcessive congestion may result in a local shortage

of routing resourcesA large expansion in areaFailure in achieving timing closure

Congestion modelingGiven a packing and netlistEstimating the congestion and routability instead

of real routing

Congestion Model A

The number of feasible routes for wire kpassing through each grid

6

61 3

3 4

3 1

3

The routes for wire k

The probability that wire k passing through this grid, Pk(x,y)=4/6=0.67

Congestion Model A

Congestion of the grid (x,y)

- Expected number of wires passing through the grid (x,y), weight(x,y):

k

k yxPyxweight wireall

),(),(

Limitations

The weighted number of feasible routes for wire kpassing through each grid

24

248 12

12 8

12 8

12

The routes for wire k

The probability that wire k passing through this grid, Pk(x,y)=8/24=0.33

Bends: 1,Weight: 8

Bends: 3,Weight: 1

Bends: 2,Weight: 3

Bends: 1,Weight: 8

Bends: 2,Weight: 3

Bends: 3,Weight: 1

Model A assumes that all feasible routes have the same probability of being selected

In real cases, the routes with less bends should have a higher probability of being selected

Congestion Model B

s

T

Divisions

1/3 1/2

1/2 1/3

1/2 1/3

1/2

The probability of wire kpassing through each grid

equal probability ineach division

Congestion Model B

where distk(x, y) is the distance from the source of wire k to the grid (x, y) and cntk(r) is the number of grids in the division that is r grids from the source.

)),((

1),(

yxdistcntyxP

kkk

Congestion of the grid (x,y) due to wire k

- the probability of wire k pass through the grid (x,y), Pk(x,y):

LimitationsRouting resources:

Both models assume that routing resources are equal at different locations

Routing resources should be different at different locations in real cases

Wirelength:Both models assume that all nets are routed in

their shortest Manhattan distanceSome nets may be routed with detours in real

cases

Our ApproachesCongestion Model A*:

Based on model ARouting resources can be different at different locations

Congestion Model B*:Based on model BRouting resources can be different at different locations

Congestion Model C:Based on model B*Routing resources can be different at different locationsEach net may be routed with detours

Congestion Model A*

1 1 1

1 1 1

1 0.5 1

s

T

1

1

The routes for wire k

0.50.5

0.50.5 4

41 2

2 2

2 1

2

The number of feasible routes forwire k passing through each grid

4/4

4/41/4 2/4

2/4 2/4

2/4 1/4

2/4

The probability of wire kpassing through each grid

Considering routing resources

Congestion Model A*Notations:

res(x,y): relative routing resources at the grid (x, y)Lk(x,y): the set of feasible routes for wire k passing through the grid

(x,y)Lk: the set of all feasible routes for wire kGk(l): the set of grids that the route l of wire k will pass throughwk(l): the weight of each feasible route l

Equations:

k

k

k

Llk

yxLlk

k

lGyxk

lw

lw

yxP

yxreslw

),(

)(),(

)(

)(

),(

),()(

Congestion Model B*

s

T 11

1 1

1

0.5

1

Division

2/5 1/2

1/2 1/5

1/2 2/5

1/2

The probability of wire kpassing through each grid

Considering routing resources

Congestion Model B*Notations:

res(x,y): relative routing resources at grid (x, y)

distk(x,y): the distance from the source of wire k to the grid (x,y)

divk(r): the set of grids that are r grids from the source of wire k

Equation

)),(()(

),(

),(),(

yxdistdivx,y

k

kk

yxres

yxresyxP

Congestion Model C

S

T

: Optional region

: Compulsory region

S

T

: SMD region

(0,0)Divisions

Considering routing resourcesEach net may be routed with detours

Congestion Model CNotations:

res(x,y): relative routing resources at the grid (x, y)dist(x,y): the distance from the the grid (0, 0) to the grid (x,y)divk(r): the set of grids that are r grids from the grid (0,0) of wire kCRk: the set of divisions located in the compulsory regionORk: the set of divisions located in the optional region: degrade factor for the grids outside the SMB region: degrade factor for the grids in the optional regiond(i, j, k, l): the distance between the grid (i, j) and (k, l)

Congestion Model C

Equation:

),,,(),,,(),,,(),( yxyxyxyxSMD ssttdttjidssjidjidk

Compulsory Region (divk(dist(x, y)) CRk):

Optional Region (divk(dist(x, y)) ORk):

)),(()(

),(

),(

)/),((

/),(),(

yxdistdivi,j

jid

yxd

k

k

kSMD

kSMD

jires

yxresyxP

),(

)),(()(

),(

),(1

)/),((

/),(),( jid

yxdistdivi,j

jid

yxd

kkSMD

k

kSMD

kSMD

jires

yxresyxP

Implementation

Floorplanning:Representations: SPHeuristics: Simulated AnnealingCost function: Weighted sum of wirelength

and number of over-congested grid

RoutingCadence’s WROUTE

Experimental Results

Test cases:

s298 188.0 162.0s344 241.0 222.0s349 242.0 223.0s382 238.0 215.0s386 227.0 195.0s400 246.0 222.0s444 263.0 238.0s510 293.0 271.0s526 281.0 250.0s641 517.0 514.0s713 532.0 527.0s820 395.0 357.0s832 395.0 355.0

CasesNo. ofcells

No. ofnets

Experimental Results

A* B* Cs298 0.00 0.00 0.00 0.00s344 0.00 0.00 0.00 0.00s349 0.00 0.00 0.00 0.00s382 0.00 0.00 0.00 0.00s386 0.00 0.00 0.00 0.00s400 0.00 0.00 0.00 0.00s444 0.00 0.00 0.00 0.00s510 0.00 0.00 0.00 0.00s526 0.00 0.00 0.00 0.00s641 226.00 0.50 1.00 0.00s713 460.50 110.00 4.25 0.00s820 0.00 0.25 0.00 0.00s832 46.50 0.00 0.00 0.00

No. of violationsCases

Experimental Results

A* B* Cs298 15964.50 15838.50 14910.25 15187.25s344 28627.75 26914.75 29472.25 27693.25s349 31799.25 27686.25 29381.75 28701.50s382 27686.25 25919.50 26026.25 23740.75s386 27836.00 27966.25 27079.25 25637.25s400 26460.00 26962.25 28128.50 25950.00s444 32160.75 30021.75 29796.25 28022.50s510 60940.50 58669.00 58806.00 56296.75s526 45291.00 39305.50 39569.25 36518.50s641 NA NA NA 152447.75s713 NA NA NA 174690.50s820 120262.75 NA 118631.50 117423.25s832 NA 115408.00 114526.00 111582.75

WirelengthCases

Experimental Results

A* B* Cs298 116.5 186.0 156.6 1028.2s344 235.0 318.6 277.9 3353.1s349 232.8 311.0 251.5 3209.9s382 232.8 333.6 288.8 3580.9s386 235.1 296.0 262.9 3768.9s400 197.9 312.6 263.2 1900.8s444 287.4 429.8 345.2 4347.5s510 255.3 387.6 338.2 2473.9s526 324.1 447.7 427.6 7373.4s641 811.4 1072.1 950.5 4340.0s713 881.3 1137.0 1014.0 4408.3s820 640.5 791.2 751.2 10984.7s832 523.7 728.6 654.5 4988.5

CasesRunning time

Future works

Limitations of congestion model CToo many parameters (, ) are usedLonger running time

Limitations of representationPacked closely together

Example

Example

Example 2