+ All Categories
Home > Documents > DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the...

DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the...

Date post: 16-Jul-2020
Category:
Upload: others
View: 0 times
Download: 0 times
Share this document with a friend
32
HEWLET DECEMBER T9S1 D JOURNAL i © Copr. 1949-1998 Hewlett-Packard Co.
Transcript
Page 1: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

H E W L E T D E C E M B E R T 9 S 1

D J O U R N A L

i

© Copr. 1949-1998 Hewlett-Packard Co.

Page 2: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

H E W L E T T - P A C K A R D J O U R N A L T e c h n i c a l I n f o r m a t i o n f r o m t h e L a b o r a t o r i e s o f H e w l e t t - P a c k a r d C o m p a n y

D E C E M B E R 1 9 8 1 V o l u m e 3 2 â € ¢ N u m b e r 1 2 Contents: Sur face-Acoust ic -Wave De lay L ines and Transversa l F i l te rs , by Waguih S. Ishak, H. Edward Ka r re r , o f W i l l i am R . Sh reve Gene ra t i ng and de tec t i ng m inu te r i pp les on t he su r f ace o f

a so l id prov ides a way to de lay and/or f i l ter e lect ron ic s ignals in a smal l space. Su r face -Acous t i c -Wave Resona to rs , by Pe te r S . C ross and Sco t t S . E l l i o t t By re f l ec t i ng acoust ic waves back and for th on the sur face of a crysta l one can obta in resonant dev ices

for f requencies in the UHF range. S A W R t o b y R o b e r t C . B r a y a n d Y e n C . C h u T h e p r o c e s s u s e d t o m a k e s u r f a c e - acoust ic-wave resonators is s imi lar in many ways to the processes used to make integrated

circuits 2 8 0 - M H z P r o d u c t i o n S A W R , b y M a r e k E . M i e r z w i n s k i a n d M a r k E . T e r r i e n I t ' s t h e f i r s t SAW component des igned fo r use in an HP ins t rument . P h y s i c a l S e n s o r s u s i n g S A W D e v i c e s , b y J . F l e m i n g D i a s N o v e l f o r c e a n d p r e s s u r e t ransducers sense the e f fec ts o f mechanica l s t ress on sur face wave ve loc i ty and resonant

f requency. P r o x i m i t y E f f e c t C o r r e c t i o n b y M e a n s o f P r o c e s s i n g : T h e o r y a n d A p p l i c a t i o n s , b y P a u l R i ssman f i ne M ichae l P .O . Wa t t s E lec t ron beam l i t hog raphy can p roduce ex t reme ly f i ne

geometr ies i f e lect ron scat ter ing in target mater ia ls is kept under contro l . M o n t e C a r l o S i m u l a t i o n s f o r E l e c t r o n B e a m E x p o s u r e s , b y A r m a n d P . N e u k e r m a n s a n d Steven effect. Eaton A computer model of electron scatter ing aids research into this ef fect. 1981 Index

In this Issue: Most but acoustic issue is about SAWs — not the cutting kind, with teeth, but surface acoustic

waves . where are like the waves or ripples that radiate outwards from the spot where a pebble is dropped into a pond. Instead of water, the surface acoustic waves described in this issue propagate on the surface of a solid, such as a piece of quartz. Of course, the atoms in the solid don't move as much as the water molecules do; one can't even see these SAWs. But i t turns out that components guiding and controlling these waves, it's possible to create electronic components that perform useful funct ions and have advantages over other ways of performing the same funct ions. SAW dev ices inc lude de lay l ines, f i l te rs , resonators , sensors for temperature ,

pressure, force, or d isplacement, and var ious exot ic processing devices such as correlators and convolvers. The waves are ca l led acoust ic not because you can hear them but because they are v ibrat ional ra ther than electromagnetic waves. Their operating frequencies are by no means l imited to audible frequencies. Some SAW devices operate in the g igahertz range and f ind appl icat ions in sophist icated radar systems.

The art ic les in this issue represent both an introduct ion to SAW devices and a discussion of the work being done described article at Hewlett-Packard. Delay lines and filters are described in the article on page 3, resonators on page 9 resonators sensors on page 18. The art icle on page 11 discusses how SAW resonators are made (many integrated circui t processing techniques are used) and the art ic le on page 15 tel ls about the f i rst SAW device used on cover) a instrument, a 280-megahertz SAW resonator (pictured on this month's cover) that replaces a quartz edi tor resonator in the 8558B and 8568A Spectrum Analyzers. Associate edi tor Ken Shaw had a good t ime edit ing these art icles because he wrote his doctoral thesis many years ago on SAW devices. He's working on another ar t ic le on SAW signal processing for an upcoming issue.

Among the Our c i r cu i t t echn iques somet imes used i n mak ing SAWs i s e lec t ron beam l i t hog raphy . Our May 1981 A was a l l about th is technology and HP's h igh-speed e lect ron beam l i thography system. A fact o f l i fe in electron beam lithography is that electrons scatter when they hit a solid target, so when you try to expose very fine material, (less than a micrometre wide) very close together on a layer of electron-sensitive material, the contour of pages given line may be affected by the presence of nearby lines. This is called proximity effect. On pages 21 and 24, four Hewlet t -Packard scient is ts report on research into methods of contro l l ing th is ef fect .

December is our annual index issue. You' l l f ind th is year 's index on pages 28-32. -P .P. Do/an

E d i t o r . R i c h a r d P D o l a n â € ¢ A s s o c i a t e E d i t o r . K e n n e t h A S h a w â € ¢ A r t D i r e c t o r , P h o t o g r a p h e r . A r v i d A D a n i e l s o n â € ¢ I l l u s t r a t o r , N a n c y S V a n d e r b l o o m A d m i n i s t r a t i v e S e r v i c e s . T y p o g r a p h y . A n n e S L o P r e s t i . S u s a n E W r i g h t â € ¢ E u r o p e a n P r o d u c t i o n S u p e r v i s o r . H e n k V a n L a m m e r e n

2 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1 Â ® H e w l e t t - P a c k a r d C o m p a n y 1 9 8 1 P r i n t e d i n U . S . A .

© Copr. 1949-1998 Hewlett-Packard Co.

Page 3: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Surface-Acoustic-Wave Delay Lines and Transversal Filters Nove l , s imp le and compact e lec t ron ic dev ices can be rea l ized by exc i t ing and detect ing acoust ic waves e lec t r ica l ly on the sur face o f a so l id . Technolog ica l advances in low- loss de lay l ines and bandpass f i l te rs are d iscussed.

by Waguih S. Ishak, H. Edward Karrer and Wi l l iam R. Shreve

SURFACE WAVES are familiar to anyone who has thrown a pebble into a pond and watched the con centric ripples emanate from the splash. These

waves carry energy outward from the splash at a velocity dependent on the properties of the medium and the mag nitude of the restoring force, in this case water and gravity. An observant person on the shore would notice that a cork floating on the surface would follow an elliptical path in a vertical plane as the wave passed {Fig. la). An observer, perhaps a fish, at the bottom of a deep pond would not feel these waves since they are confined to a surface layer about one wavelength thick.

Surface waves also can exist on solids. These waves were first analyzed by Lord Rayleigh in 1885.1 His work explained one of the classic problems of geology in the last century, that is, how to locate an earthquake by interpreting seismogram recordings from several locations. Rayleigh showed that an earthquake not only generates longitudinal (compressional) and transverse (shear) waves which tend to follow chordal paths through the earth, but also surface waves which travel at a slower velocity around the earth's circumference. The surface waves are guided by the stress- free boundary between the earth and its atmosphere. These waves cause the largest ground motion in an earthquake.

During this century, surface waves were extensively studied by the Russian scientist Viktorov.2 He showed that

Water Solid

(a)

0 o 0

0 0 o

Fig. 1 . The ampl i tude of the mot ion of part ic les in a medium d is turbed by the passage o f a sur face wave decreases wi th the depth of the part ic le below the surface. In a l iquid (a) the mot ion at the surface is in the opposi te direct ion from mot ion in a sol id (b).

surface waves on a solid have a surface particle motion that is retrograde elliptical and opposite to the motion of a particle caused by a surface wave on water (Fig. 1). He also showed that the amount of particle motion falls off expo nentially with depth below the surface.

A real breakthrough came in 1965 when White and Volt- mer discovered an easy way to generate and detect surface acoustic waves (hereafter abbreviated SAWs) in the laboratory.3 They used metallic interdigital transducers (IDTs) deposited in a vacuum on a piezoelectric substrate (Fig. 2). In an IDT the fingers are spaced by X/2 where X=v/f. Here f is the excitation frequency, v is the surface wave velocity, and X is the wavelength.

When an alternating voltage of frequency f is applied to the IDT, an electric field is created between adjacent fin gers. A strain field is also generated because of the elec tromechanical interaction in the piezoelectric substrate. This strain field is an imprint of the IDT and propagates away from the IDT in both directions as a surface wave. The surface wave can be detected by a second remote IDT as the SAW passes through it because the surface wave carries with it an electric field via the piezoelectric effect which generates a voltage between adjacent IDT fingers.

This advance in SAW excitation initiated a new wave of interest in SAW devices to capitalize on their novel proper ties. Such devices are small because surface-wave velocities are typically 3000 metres per second, five orders of mag nitude slower than electromagnetic waves. The IDT is a planar structure that can be made with a single metalliza tion step. Advances in microelectronic fabrication and photolithography brought about by the booming semicon ductor industry were immediately applicable to the emerg ing SAW technology. The growing research led to new piezoelectric materials and material cuts optimized for par ticular requirements such as temperature stability or large fractional bandwidth.

The advance of SAW technology can be traced in more detail by the interested reader who examines some review articles, special issues of technical publications, and books published in the field. 4'E

Delay-Line Filters Delay-line filters were among the first SAW components

to be used in circuits. The impulse response of these filters can be accurately controlled in both amplitude and phase, and their nondispersive nature leads to a linear change of

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 3

© Copr. 1949-1998 Hewlett-Packard Co.

Page 4: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Absorber RF Input

RF Output

Sur face Acoust ic Wave

(a)

F ig . 2 . (a ) Bas ic con f igu ra t ion fo r a su r face-acous t i c -wave de lay l i ne . The absorb ing laye r a t each end reduces re f lec t ions f rom the edges of the subst ra te , (b) Scanning e lect ron mic roscope pho tograph o f a sec t ion o f a t yp ica l in te rd ig i ta l transducer at 2300 x . The varying lengths (apodization) of the e lec t rodes are chosen for a des i red response.

Fig. 3. Equivalent c i rcui t for an interdigi ta l surface-acoust ic- wave transducer ( IDT).

phase with frequency. It is even possible to distort the filter's phase response intentionally to compensate for phase shifts in matching networks or other circuit compo nents.

The key element in SAW filter design is the interdigital transducer; it couples the electrical signal to the acoustic waveform and thereby determines the filter impedance and frequency response. The transducer can be modeled by the equivalent circuit in Fig. 3. For a transducer consisting of N pairs of electrodes NA wavelengths long, these circuit ele ments are

C0 = NNAXt (£p+e0)

G =G0 [sin(x)/x]2

B =G0 [sin(2x)-2x]/(2x2)

G0 = 8k2 vt (ep+e0)N2NA

x = 7r(f-ft)N/ft

where Xt is the wavelength at the transducer's center fre quency ft, ep is the effective dielectric constant of the sub strate, e0 is that of air, k2 is the piezoelectric coupling coef ficient of the substrate, f is the frequency and v, is the acoustic velocity under the transducer (the effects of mechanical reflections from the transducer electrodes are neglected in these expressions). Note that the transducer conductance G is not constant with frequency. This varia tion the determines the filter frequency response. If the lengths of the electrodes are varied (a technique called transducer apodization, see Fig. 2b), the frequency re sponse can be tailored to a given application.

Initially, the use of SAW devices to provide filtering was limited by the properties of the conventional interdigital transducer. The transducer is inherently a three-port device

F i g . 4 . C o n f i g u r a t i o n f o r a S A W de lay l i ne us ing th ree -phase un i d i rec t iona l IDTs. Mul t i layer meta l l izat ion is required because some conduc to rs mus t pass over o ther conductors in th is des ign.

4 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 5: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

with one electrical port and two acoustic ports. In the con ventional filter arrangement (Fig. 2) the power is divided by the launching transducer. Half is sent toward an absorber and half propagates toward the output. At the output trans ducer, some of the signal (at most half) is delivered to the load, some passes the transducer and is absorbed, and some is reflected. Thus the minimum loss, 6 dB, is achieved when the electrical ports are perfectly matched.

Unfortunately, this matching condition also maximizes the reflection from the transducer. When the signal re flected by the output IDT reaches the input IDT part of it is reflected back to the output. The doubly reflected signal is detected at the output and causes passband ripple. This triple-transit signal can only be reduced by mismatching the transducer. For a delay line with identical transducers, this can be expressed simply in terms of the normalized conductance g=GZL where ZL is the load impedance. The ratio of the single-transit signal amplitude to the input signal amplitude is

s i n g l e - t r a n s i t 2 g input (1+g)2

and the ratio of the triple-transit signal to the input is

t r i p l e - t r a n s i t 2 g 3 input (1+g)4

When the transducer is matched (g=^>l), the triple-transit signal amplitude approaches one-quarter of the single- transit signal amplitude. This corresponds to 4 dB of ripple on a nominal 6-dB-loss filter.

One solution to this problem of having either high inser tion loss or significant ripple is to use unidirectional trans ducers to couple all of the electrical energy into a single acoustic wave. This eliminates one acoustic port and thereby reduces the ideal minimum loss to 0 dB. Since there are only two ports, the SAW delay line can be perfectly matched and reflections can be eliminated. Unidirectional transducers are made with phased arrays of electrodes, usu ally three or four electrodes per wavelength as shown in Fig. 4. This design complicates the fabrication process by introducing multiple layers of metallization and compli

cates the electrical matching by requiring multiphase exci tation voltages. However, most integrated circuits also re quire multilayer metallization so the SAW fabrication prob lem is not severe. On the other hand, the matching require ments limit filter bandwidth and increase the component count. Three or four reactive elements are required at each end of the three-phase transducer in Fig. 4.

Another design achieving unidirectional operation uses pairs of bidirectional transducers spaced by one-quarter wavelength and driven 90 degrees out of phase. The waves from the pairs add in one direction and cancel in the other. Sets of these pairs can be combined to give the desired frequency response. As shown in Fig. 5, multilayer metal lization can be eliminated by running the ground electrode between the groups. In general, two components are re quired to generate the phase shift and match this trans ducer. However, in practice a single inductor can be used. The major drawbacks of this type of unidirectional trans ducer are the extra loss introduced by the meandering ground and the presence of extra passbands introduced by the periodicity of the groups.

SAW filters can give more rapid rolloff from passband to sidelobe floor than any other type of UHF filter. There are a number of techniques to give the desired shape factor (the ratio of 40-dB bandwidth to 3-dB bandwidth), bandwidth, and sidelobe level with minimum insertion loss and com plexity, but these specifications are linked. Small shape factors and low sidelobes require long transducers that in crease substrate size and progagation loss. Filter bandwidth is limited by the acoustic Q as set by the crystal's piezoelec tric coupling coefficient. On ST-cut a-quartz, the material used for stable operation over temperature, the maximum fractional bandwidth that can be achieved without addi tional loss is about 3%. On a stronger coupling material like LiNbO3 (lithium niobate) the limit is about 20%, but matching unidirectional transducers over a bandwidth of more than 5% can be difficult.

SAW filters have unique capabilities and will be found in an increasing number of military and commercial systems. When designing SAW devices into circuits, it is prudent not to overstate one's requirements since a particularly stringent requirement may force compromises in other

•Ultrahigh frequency, the range from 300 MHz to 3000 MHz.

RF Input

F i g . 5 . C o n f i g u r a t i o n f o r a S A W d e l a y l i n e u s i n g g r o u p - t y p e u n i d i r e c t i o n a l I D T s . T h i s d e s i g n e l iminates the need for mul t i layer meta l l i za t ion by us ing a meander i n g g r o u n d e l e c t r o d e p a t t e r n a s shown.

DECEMBER 1981 HEWLETT-PACKARD JOURNALS

© Copr. 1949-1998 Hewlett-Packard Co.

Page 6: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

specifications. For example, fractional bandwidths exceed ing about 5% lead to insertion loss above 7 dB or passband ripple exceeding 1 dB. Sidelobe levels more than 40 dB below the passband and shape factors less than 2:1 lead to higher insertion loss and a maximum bandwidth of a few percent. Therefore, filter specifications for particular appli cations should be considered carefully in light of the tradeoffs that exist.

CH1:A/R MIG. «- 14.2 dB 512 10 dS/OIV

Bandpass Fi l ter Design SAW bandpass filters with a fractional bandwidth of less

than 5% can be accurately synthesized using a delta- function model. Each gap between electrodes of different phases is modeled by an impulse whose amplitude is pro portional to the electrodes' active overlap at the gap. A computer program has been written to model both bidirec tional and unidirectional SAW IDTs. The program runs on an HP 1000 Computer and has been tested extensively for various filter configurations.

Inputs to the program are center frequency, bandwidth and apodization functions. A library of apodization func tions is included to achieve various frequency responses. The program represents the impulse response at each gap location by a delta function and then computes the Fourier transform to get the frequency response. The user can iter ate the input parameters to achieve the desired frequency characteristics for each transducer and then, upon com mand, the program calculates and plots the combined filter response in the desired format.

To verify the design concepts embodied in the program, an experimental SAW filter was built at Hewlett-Packard Laboratories. The filter consisted of two conventional bidirectional transducers with a center frequency of 321 MHz. The synthesis program generated the optimum trans ducer configuration and the filter was fabricated on a 2.5- mm-square substrate of Y-cut, Z-propagating LiNbO3. Fig. 6 shows the frequency response of such a filter which is in fair agreement with the model. Table I compares the design parameters with the actual values. The combination of high insertion loss (>10dB) and ripples (>ldB) necessitated using another scheme for the IDTs.

As discussed earlier, several methods exist for realizing unidirectional IDTs. Group-type unidirectional transduc ers (GUDTs) like those in Fig. 5 were chosen for several reasons. 10 First, they require only one layer of metallization

Table I Comparison of Theoretical and Actual Parameters

for 321-MHz SAW Filter

Parameter Center frequency

(MHz) Insertion loss

(dB) 3-dB bandwidth

(MHz) Ripples (dB)

D e s i g n V a l u e A c t u a l V a l u e 3 2 1 . 4 3 2 1 . 4

11.0 (matched) 14.2 (unmatched)

18

< 0 . 5 1 . 2 ( m a t c h e d ) 0.8 (unmatched)

I IÃE

C M * 3  » MOts K!. U »•* AF«12t.i tt*

Fig . 6 . F requency response o f a 321-MHz SAW f i l te r us ing b id i rect ional IDTs. The des ign was generated by a computer program deve loped a t HP Labora tor ies .

which makes fabrication easy. Second, the minimum di mension is one-quarter wavelength, allowing frequencies up to 1 GHz on LiNbO3 before the dimensions become fine enough to make fabrication difficult. Third, matching and phase shifting networks are much simpler than those re quired for three-phase unidirectional transducers.

The design program was modified to model GUDTs. The modified program yields the matching network and the optimum transducer aperture (length of the finger elec trodes) to achieve both matching and unidirectionality. This is done by using the equivalent circuit shown in Fig. 3 for each phase and requiring that the transducer input im pedance be equal to the source impedance and that equal power (with 90° phase shift) be delivered to each phase. The program was tested and proved to be accurate in predicting the frequency response of GUDT filters.11

To test the accuracy of the model, several filters were built with identical transducers. The agreement between the predicted and measured frequency responses was good as demonstrated by the results for a 650-MHz filter in Fig. 7. These results also illustrate the characteristic multiple passband response of GUDTs caused by the periodicity of the groups.

In practical filters, the sizes of the groups in the two transducers are not the same. The extra passbands of one transducer align with minima in the second transducer's response. Thus, the extra bands are suppressed in the com posite filter response. Matching the transducers with in ductors further reduces these spurious responses. In most cases, the extra bands are at least 35 dB below the main passband level.

The output of the design program is fed into another program to generate the final pattern generator tape for production of the photomask. In this program, the electrode positions are combined with bonding-pad locations to de fine the entire filter chip. After receiving and inspecting the completed mask, the filters are fabricated using the proce dure described in the box on page 11 except that no

6 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 7: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Fig . 7 . F requency response o f a 650-MHz GUDT f i l t e r w i th iden t ica l t ransducers . Note the ex t ra passbands caused by the group per iod ic i ty , (a) Model predic t ion, (b) Exper imenta l result.

reactive-ion-etching step is required. These devices are then tested using an HP Model 8507A Network Analyzer.

Experimental Results Several designs for GUDT filters at frequencies ranging

from 300 to 750 MHz have been studied. The piezoelectric material used for its high electromechanical coupling factor was 128° rotated Y-cut, X-propagating LiNbCb. The fre quency response of one of these filters is shown in Fig. 8. The matching and 90° phase shift for each transducer were obtained with a single 4-turn airwound 2-mm-diameter coil. The figure shows the agreement between the model and experiment over an octave bandwidth, the degree of suppression of the extra bands achieved from a careful choice of transducer groups, and the sidelobe suppression caused by the matching coils. The filter insertion loss is 5.4 dB at the center frequency of 364 MHz, and the out-of-band rejection is better than 40 dB.

In Fig. 8c the response is shown using expanded scales

(a) 2 7 3 . 4 S E .

Frequency (MHz)

(c)

F i g . 8 . F r e q u e n c y r e s p o n s e o f a t y p i c a l G U D T S A W bandpass f i l ter. Insert ion loss is 5.4 dB. (a) Model predict ion, (b) Exper imenta l resul t , (c) Expanded d isp lay of the f i l ter re s p o n s e s h o w n i n ( b ) . T h e b a n d w i d t h i s 1 0 . 8 M H z a n d t h e r ipp les in the group delay are less than 20 ns.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 7

© Copr. 1949-1998 Hewlett-Packard Co.

Page 8: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

(0.1 dB) to indicate how flat the response is. The passband ripples are smaller than 0.01 dB. Also, we notice that rip ples in the group delay are less than 20 ns over the entire 10.8-MHz bandwidth of the filter.

Acknowledgments Many people have contributed to the success of the SAW

program at Hewlett-Packard. We would particularly like to thank Charles A. Adams and John A. Kusters for their pioneering work to establish SAW technology here and Johnny Ratcliff and George Nelson for their technical sup port.

References: 1. Lord Rayleigh, "On Waves Propagated Along the Plane Surface of an Elastic Solid," Proceedings of the London Mathemetical Society, Vol. 17, 1885, pp. 4-11. 2. I. Viktorov, "Rayleigh and Lamb Waves," Plenum Press, New York, 1967. 3. R.M. White and F.W. Voltmer, "Direct Piezoelectric Coupling to Surface Elastic Waves," Applied Physics Letters, Vol. 7, December 1965, pp. 314-316. 4. Special issue on microwave acoustics, IEEE Transactions on Microwave Theory & Techniques, Vol. MTT-17, November 1969. 5. Special issue on microwave acoustic signal processing, IEEE Transactions on Sonics & Ultrasonics, Vol. SU-20, April 1973. 6. Special issue on surface acoustic waves, Proceedings of the IEEE, Vol. 64, May 1976. 7. H. Matthews, "Surface Wave Filters," John Wiley and Sons, New York, 1977. 8. A. New Oliner, "Acoustic Surface Waves," Springer-Verlag, New York, 1978. 9. Special issue on SAW device applications, IEEE Transactions

; I

H. Edward Karrer Ed Karrer is a graduate of Stanford Uni ve rs i t y , rece iv ing the BS and MS de grees in electr ical engineer ing in 1959 and 1960. He came to HP in 1966 wi th

i exper ience in di rect ing a measurement t echn iques g roup a t ano the r com pany 's techno logy center . He was manager o f the phys ica l acous t ics de partment in HP Laboratories for several

I years and now heads the app l ied j techno logy and medica l depar tment .

Ed is named as an inventor on f ive pat ents and is an author of 1 5 publications

I concerned with acoustic imaging, SAW dev ices, bu lk resonators , and

pressure and fo rce sensors . He is a member o f the IEEE and the Amer ican Ins t i tu te o f U l t rasound in Medic ine. Ed was born in Brentwood, Cal i forn ia and now l ives in Palo Al to , Cal i forn ia. He is mar r ied , has two teenage ch i ld ren and a Labrador re t r iever , and enjoys f ish ing and play ing c lassical gui tar (which he a lso teaches).

on Sonics & Ultrasonics, Vol. SU-28, May 1981. 10. K. Yamanouchi, J. Nyffeler and K. Shibayama, "Low Insertion Loss Acoustic Surface Wave Filters Using Group-Type Unidirec tional Transducers," IEEE Ultrasonics Symposium Proceedings, 1975, pp 317-321. 11. W. S. Ishak and W. R. Shreve, "Low-Loss, Low-Ripple SAW Filters Using Group-Type Unidirectional Transducers," IEEE Ul trasonics Symposium Proceedings, 1981.

Wil l iam R. Shreve Bi l l Shreve was born in Dobbs Ferry, New York and attended Cornel l Univer-

^ I H R R R k s i t y , e a r n i n g a B S d e g r e e i n e n g i n e e r - I J S ( B ^ ^ B B ' n 9 P h y s i c s n 1 9 6 9 . H e c o n t i n u e d h i s

* - g ^ . Â ¿ S 1 s t u d i e s a t S t a n f o r d U n i v e r s i t y , r e c e i v i n g I the MS (1971) and PhD (1974) degrees

J L ^ f e M l p y â € ¢ ' : I i n a p p l i e d p h y s i c s . B i l l j o i n e d H P i n f f v k ^ ^ H I 1 9 7 8 w i t h f o u r y e a r s o f i n d u s t r i a l e x -

perience working with SAW technology. A t HP he has worked on SAW res onators and is the project manager for the SAW program at HP Laborator ies. Bi l l is named as an inventor on three SAW device patents and is an author of more than twenty papers re la ted to

SAW devices. He was an inst ructor for the Cont inu ing Engineer ing Education Program at George Washington University. Bi l l is the foun der and vice-chairman of the Santa Clara Valley Sonics & Ultrasonics Chapter of the IEEE, and a member of the IEEE Sonics & Ultrasonics Group Administ rat ive Commit tee. He l ives in Sunnyvale, Cal i forn ia with his wife, two daughters, and the family dog. He enjoys jogging, h ik ing, woodwork ing, and gardening.

Waguih S. Ishak Waguih Ishak jo ined HP in 1978 af ter comple t ing post -doctora te work a t McMaster Univers i ty , Hami l ton, Canada. A nat ive of Cai ro , Egypt , he earned a BS degree in e lec t r i ca l en gineer ing at Cairo Universi ty in 1971 and a BS degree in mathematics at Ain Shams Univers i ty in 1973. He taught physics at two universi t ies in Cairo for two years and then at tended McMaster University, earning the MS and PhD de grees in electr ical engineer ing in 1975 and 1978. A t HP he has worked on bubb le memor ies and magne t i c p r i n t ers , and now is invo lved wi th SAW de

vice technology. Waguih is a member of the IEEE and the Association of Professional Eng ineers of the Province of Ontario. He is an author of twelve publ icat ions deal ing wi th bubble memory devices, numerical optimization, and SAW devices. He is married, has a son, and lives in Sunnyvale, California. His outside activit ies include being treasurer of the Copt ic Orthodox Church in Hayward, Cal i forn ia and playing soc cer.

8 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 9: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Surface-Acoustic-Wave Resonators by Peter S. Cross and Scott S. El l iott

A SURFACE-ACOUSTIC-WAVE (SAW) delay line (see article on page 3) can be converted into a high-Q resonator filter by enclosing the interdigital trans

ducers (EDTs) with two periodic arrays of grooves as shown in Fig. 1 . Each array acts as an efficient reflector of surface waves over a band of frequencies determined by the groove period and depth. When two such arrays are placed near each other, a Fabry-Perot (laser-type) resonator is formed.1

Grating Reflectors Because of its complex particle motion, a surface wave

largely decomposes into reflected longitudinal and shear waves when incident upon an abrupt surface discontinuity such as a crystal edge. Therefore, to reflect surface waves back as surface waves efficiently, it is necessary to use an array of a large number of small periodic surface perturba tions (typically shallow etched grooves) as shown in Fig. 2. Each edge of a groove has a small reflection coefficient r which is proportional to the groove depth. The efficiency of conversion into bulk modes is proportional to r2 and is thus negligible for r«l. At the frequency where the grating period is half the surface acoustic wavelength, the reflec tions from all N grooves in the array add coherently to give a power reflect ion coefficient R=tanh2(2Nr). Thus R ap proaches unity as Nr is made large. The transmission coeffi cient T is just the complement of R (equal to 1-R).

To calculate the reflection coefficient as a function of frequency, the grating can be modeled as a periodically mismatched transmission line as shown in Fig. 3.2 The ridge and groove regions are characterized by acoustic ad mittances YO and Yj, respectively. Thus, there is a reflection at each edge caused by the admittance discontinuity. Also included in the model is a reactive element jB that is as sociated with evanescent bulk modes that are localized at

I n p u t I D T

Fig. 1 . Two-port surface-acoustic-wave resonator. The arrays of grooves at each end ref lect the sur face waves exc i ted by the inpu t IDT . The re f l ec ted waves cons t ruc t i ve l y add a t a f r e q u e n c y l a r g e l y d e t e r m i n e d b y t h e p e r i o d i c i t y o f t h e grooves.

Fig. of Simple surface-wave reflector using a periodic array of grooves etched to a depth h in the surface. R is the ref lect ion coeff icient and T is the transmission coeff icient for an incident surface wave.

each groove edge. The main consequence of this reactive energy is to shift the frequency fr at which the peak reflec tion occurs. Since the magnitude of B is proportional to r2 (and hence to the groove depth h squared) , fr and the result ing resonator center frequency f0 shift as a function of h. Thus, although this effect makes it essential to maintain good groove depth uniformity across a substrate, groove depth adjustment can also be used to trim the frequency of a completed resonator.

The magnitude and phase of the reflection coefficient of a grating are shown in Fig. 4. The frequency dependence of the magnitude is very similar to a sin x/x function, but with a broadened and f lat tened central lobe whose width is proportional to r. The reflection phase has a nearly constant slope inside the main lobe and a sawtooth behavior in the sidelobe regions. The constant slope near the center fre quency is mathematically equivalent to assuming that the distributed reflection from the grooves originates from a plane mirror located a fixed distance \,78r inside the grat ing (Xr = wavelength at fr).3 As a result, the behavior of

I

Fig. 3. Equivalent c i rcu i t model for a grat ing ref lector .

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 9

© Copr. 1949-1998 Hewlett-Packard Co.

Page 10: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

O T

Frequency »•

Fig. 4. Magni tude and phase of grat ing ref lect ion coef f ic ient versus f requency. The width o f the re f lec t ion band is propor t ional to groove depth h.

the reflection grating can be considered to be the same as that of a localized plane mirror when making first-order calculations of resonator properties.

The effect of the gratings on delay-line response is shown in Fig. 5. Without the gratings, the delay line has a (sin xlx)2 response (for unapodized IDTs) with a relatively high inser tion loss because the IDTs are weakly coupled to the sub strate intentionally in a resonator configuration (Fig. 5a). With the addition of the gratings, the reflected surface waves make several passes through the cavity thereby in creasing the effective coupling to the IDTs at resonance. The result is a narrow, low-insertion-loss peak that rises out of the delay-line response (Fig. 5b).

In the vicinity of the resonant peak, SAW resonators (SAWRs) are accurately modeled by a series RLC circuit as shown in Fig. 6.4 The devices can have either one or two transducers and therefore have either one or two electrical ports as indicated. The one-port configuration has an equivalent circuit consisting of a series RLC branch shunted

-100

(a) 3 2 5

0 - T

3 3 5 3 4 5 3 5 5 Frequency (MHz)

365 375

-100

325 335

(b)

3 4 5 3 5 5

Frequency (MHz)

365 3 7 5

Fig. 5. (a) Frequency response of a 350-MHz SAW delay l ine. By adding grat ing ref lectors at each end, a resonant peak is obta ined at the center f requency (b) .

by C0, the static capacitance of the IDT. This is identical to the equivalent circuit of a bulk crystal resonator. In practice, the reactance of the static capacitance often must be com pensated by using an external inductor to ensure that oscil lation at spurious frequencies does not occur. In the two- port configuration, the static capacitance individually shunts the input and output ports but not the resonant RLC arm. Thus, there is usually no necessity to compensate C0 when using a two-port SAWR.

The ultimate performance of a SAW resonator is limited by the various cavity loss mechanisms which include vis cous damping in the substrate, mode conversion in the gratings and resistive loss in the electrodes. Most of the loss

x / 2 -

n n

I s " 3 (b)

_ j L n n n r v - o , Q i L ,

— . C0

T . (c)

F ig . 6 . C rys ta l resona to r geome t r i es and equ iva len t c i r cu i t s , (a ) One-por t , bu lk-acoust ic-wave res o n a t o r , ( b ) O n e - p o r t s u r f a c e - a c o u s t i c - w a v e r e s o n a t o r , ( c ) Two-po r t su r face -acous t i c -wave resonator.

10 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 11: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

mechanisms vary directly with the geometrical features of the device and can be minimized by proper design. Viscous damping, however, cannot be eliminated and is therefore the fundamental limitation to resonator Q and insertion loss. The attenuation due to viscous damping increases as the square of the resonant frequency and causes the maximum achievable Q to fall at higher frequencies as shown in Fig. 7. Furthermore, if there is a restriction placed on the total allowable device length L, the Q also falls off at low frequencies because of increased transmission through the shorter gratings. Thus, the Q of SAWRs typically falls in the range of 5000 to 100,000.

The minimum resonant resistance for a single-mode res onator is plotted as a function of frequency in Fig. 8 on page 14. The curves are essentially inverted replicas of the Q- curves in Fig. 7 except that the minimum resistance rises very rapidly (as f2'5) at higher frequencies. The rapid rise occurs because, in addition to increased viscous damping, there are constraints on the minimum IDT metal thickness and groove depth (taken as 30 nm in Fig. 8) obtainable in a practical situation. These constraints limit the transducer size (and coupling strength) allowable for single-mode operation which in turn causes high values of resonant resistance for frequencies above 1 GHz.

(cont inued on page 13)

1000 10,000 Frequency (MHz)

F ig . 7 . Un loaded Q ve rsus f r equency as a f unc t i on o f t he length L of a quar tz sur face-acoust ic-wave resonator .

SAWR Fabrication

by Rober t C . Bray and Yen C. Chu

To be compe t i t i ve economica l l y , su r face -acous t i c -wave res onators (SAWRs) must be fabr ica ted us ing s tandard photo l i tho graphic and th in- f i lm techniques. A complete dev ice consis ts o f a luminum th in - f i lm in te rd ig i ta l t ransducers ( IDTs) tha t a re p re cisely positioned with respect to an array of etched grooves on the po l i shed su r face o f a p iezoe lec t r i c quar t z c rys ta l . I n the UHF range (300 to 3000 MHz) where SAWRs f ind most appl icat ions, the pat terns have l inewidths of a few micrometres or less (e.g. , 1 -¿¿m-wide lines and spaces correspond to a resonant frequency o f a b o u t 8 0 0 M H z ) . T h u s , t h e f a b r i c a t i o n p r o c e s s d e s c r i b e d below and Fig. 1) was devised to al low def ini t ion of the IDTs and g ra t ings by a s ing le , h igh- reso lu t ion pho to l i thograph ic s tep to avoid di f f icul t , i f not impossible, pat tern al ignments.

The process star ts wi th a h ighly pol ished 5-cm-diameter , 0.5- mm- th i ck wa fe r subs t ra te o f s i ng le - c r ys ta l qua r t z on wh i ch a number of devices can be fabricated simultaneously. The wafer is cut from the crystal boule at a precise angle that is chosen to give a zero delay temperature coeff ic ient at a given temperature. The backside of the wafer is roughened to reduce specular ref lect ion o f any bu lk acoust ic waves tha t may be exc i ted by the sur face wave t ransducer .

Because the pol ished sur face and the crysta l l ine s t ructure of t he subs t ra te a re impo r tan t , t he wa fe rs a re i nspec ted be fo re processing begins by etching the quar tz sur face s l ight ly so that any crysta l defects are del ineated.

The photo l i thography is done us ing s tandard photores is t p ro cessing with the mask-to-wafer pattern transfer done by using an a l i gne r t ha t b r i ngs t he wa fe r and mask i n to i n t ima te con tac t . L inewidth var iat ions must be kept wi th in 5% across the wafer to

r e d u c e t h e s p r e a d i n r e s o n a n t f r e q u e n c i e s t o a n a c c e p t a b l e to lerance. Because the quar tz is so h igh ly po l ished and the pat terned l ines are so narrow, adhesion of the photoresist is often a prob lem. To promote adhesion and to reduce re f lec t ions dur ing exposure, a 5-nm-thick titanium layer is f irst deposited by electron beam deposi t ion.

After painstaking cleaning, a0.4-^imthick layer of photoresist is spun on the wafer . The negat ive image o f the in terd ig i ta l t rans duce r To g ra t i ng pa t t e rns i s de f i ned by con tac t exposu re . To fac i l i ta te good l i f t ing a f te r the a luminum evapora t ion la te r , the p h o t o r e s i s t i s g i v e n a n o v e r h a n g s t r u c t u r e ( F i g . 2 ) w i t h a ch lorobenzine soak before development . Af ter development the exposed por t ion of the under ly ing t i tan ium is e tched away, leav ing fresh areas of the quartz surface for the aluminum deposit ion.

Af ter the photores is t is baked, copper-doped a luminum is de posited at a very slow rate to a precisely controlled final thickness. Aluminum is chosen as the metall ization in the IDT region because i t s acous t i c impedance c lose ly matches tha t o f quar tz . Re f lec t ions from f ingers of any other metal would be unacceptably large f o r a h i gh -Q SAWR. Coppe r dop ing i s used t o s t reng then t he aluminum and prevent metal migration that is observed at the high power d iss ipat ion desirable for low-phase-noise osci l la tors.

The remaining photoresist is softened and l i f ted off the surface of the wafer by soak ing the wafer in acetone. Th is removes the unwanted aluminum layer overlying the resist, leaving behind the desired pattern on the quartz surface. Then the wafer is covered with a new layer of photoresist which is patterned and developed to protect the IDT regions from further etching. Using the exposed par ts o f the a luminum pat te rn fo r a mask , the gra t ing re f lec to r

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 1 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 12: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Polish and Clean Substrate

Grating Transducer

^^^^m

Deposit Titanium

Apply Resist

Photolithograhically Def ine Grat ings and IDTs

Deposi t Aluminum

T T T

Lift Off Resist

Cover Cavi ty and IDTs

Etch Grating

Str ip Aluminum

Photoresist

Fig. 1. Fabricat ion process for SAW resonators.

F ig . 2 . Scann ing e lec t ron m ic roscope pho tog raph o f pho to res is t pa t te rn showing overhang s t ruc tu re des i red fo r good aluminum l i f toff patterning.

grooves a re e tched in to the quar tz w i th a CF4/02 reac t i ve ion e tch ing (RIE) p rocess . Good cont ro l o f a i l p rocess parameters (pressure , gas f low ra tes and RF power) is the key to un i fo rm, r e p e a t a b l e g r o o v e e t c h i n g . A f t e r t h e g r o o v e s a r e e t c h e d t h e exposed aluminum is removed and then the resist protect ing the IDTs is str ipped.

G o l d b o n d i n g p a d s a r e r e q u i r e d f o r m a k i n g c o n t a c t t o t h e ex te rna l wor ld . To avo id the fo rmat ion o f go ld -a luminum in te r - meta l l i c compounds, t i tan ium-p la t inum t rans i t ion layers are de posi ted between the IDTs and the sur face of the bonding pads.

At th is point in the process the devices are funct ional and can be tes ted to de termine the average resonant f requency on the wafer . The resonant f requency is h igh ly sens i t i ve to IDT meta l th ickness and gra t ing groove depth . For example , an 800-MHz SAWR designed with aluminum f ingers 45-nm thick varies by 500 kHz or 620 ppm in center f requency as the IDT meta l th ickness varies between 40 and 50 nm. For this reason, i t is usual ly neces sary to tr im the devices to achieve acceptable yields for an ini tal f requency spec i f ica t ion o f ±50 kHz or bet ter . Th is t r imming is accompl ished by p lac ing the ent i re wafer back into the RIE sys-

12 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 13: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Yen C. Chu Yen Chu was born in Kiangsu, China and a t tended Chen-Kung Un ive r sity, Taiwan, earning a BS degree in Physics in 1967. He received the MS degree in so l id-s tate physics f rom the Univers i ty of Iowa in 1970 and the MS degree in meta l lurgy and material science from the University of Flor ida in 1972. Yen joined HP in 1 975 with previous experience working with gal l ium arsenide mate r ia ls . At HP he has worked wi th GaAs FETs and YIG crystals, and contr ibuted to the development of the SAWR fabricat ion process. Yen

is now working on 1C process improvements. He is the author of one paper on SAWR device aging and a co-author of three other papers concerned with SAW devices. Yen is married and has two chi ldren, a g i r l and a boy. He l ives in Cupert ino, Cal i forn ia and enjoys spending h is le isure t ime gardening.

tern and us ing the IDT meta l l izat ion as a mask. As a resul t , the I D T s a r e e f f e c t i v e l y r a i s e d u p o n q u a r t z p e d e s t a l s w h i c h i n creases the stored energy in the IDT region and lowers the reso nant frequency.1

N e x t t h e w a f e r i s s a w e d i n t o i n d i v i d u a l d e v i c e s w h i c h a r e c l eaned and hea t t r ea ted . The i nd i v i dua l SAWRs can now be g iven a f ina l f requency t r im before packaging.

The f in i shed ch ips a re r ibbon bonded to a th ick - f i lm g round plane on a ceramic substrate, and wire bonded to the connector p ins. A n ickel l id is brazed on af ter heat t reatment in vacuum.

Robe r t C . B ray Bob Bray is a nat ive of Detroi t , M ich igan and rece ived the BS (1966) and MS (1967) degrees in phys ics a t the Un ivers i ty o f Mich i gan . He taugh t phys i cs i n a M ich i gan high school unti l 1977 when he began graduate studies in electr ical engineer ing at Stanford Univers i ty , ea rn ing the MSEE and PhDEE de grees in 1 979 and 1 981 . Bob joined HP th is year and is involved wi th SAW dev ice des ign, p rocess ing, and aging studies. He has authored four papers on acoust ic microscopy and SAW dev ice phys ics and i s a

member of the I EEE and the Acoustical Society of America. Bob is married, has two sons and a half-wild cat, and lives in Santa Rosa, California. He enjoys camping, hiking, l istening to classical music, and at tending as many o ld movies, concer ts , p lays, and operas as he can when he is not busy reading s tor ies to h is boys.

Acknowledgments Developing a microelectronic fabr icat ion process requires the

ins igh ts ga ined f rom the combina t ion o f many d iverse ta len ts . Among Plant individuals contributing to this process are Pete Plant ing, Don Johnson, T. S. Tan, Sandy Giannotti, George Henry, John Ratc l i f f , Cather ine Johnsen and Michael Symons.

Reference 1 . P . S . D e a n d W . R . S h r e v e , " F r e q u e n c y T r i m m i n g o f S u r f a c e A c o u s t i c W a v e D e v ices," U.S. Patent #4.278,492.

S A W R s v e r s u s B u l k - A c o u s t i c - W a v e R e s o n a t o r s Although fundamental-mode SAW oscillators are some

times compared to multiplied bulk-acoustic-wave (BAW) oscillators at the same frequency, SAW and BAW res onators address different frequency ranges and thus are not directly competing technologies. BAW crystals are use ful in the range of 100 kHz to 50 MHz for the fundamental resonance mode. They are limited in frequency on the low end by large size and high loss. Because fundamental-mode crystals must be cut to a thickness of one-half wavelength, they of limited at the high-frequency end by the fragility of the thin crystal. Mechanical overtones of odd order may be used to extend the useful frequency range to around 300 MHz, but problems with unwanted nearby modes become severe.

SAW resonators begin to be useful right where BAW devices are limited (at 50 MHz) and span the frequency range up to about 1 GHz. Large size is again the limiting factor on the low end; at 50 MHz, one SAW resonator oc cupies an entire 5-cm-diameter quartz wafer. At 1 GHz, the dimensions for the IDT fingers and grooves become pro hibitively small for photolithographic processing techniques. Devices have been demonstrated up to 2.6 GHz using submicrometre electron-beam lithography.5 The loss caused by material viscosity increases rapidly with fre quency and dominates at frequencies above 1 GHz.

Typical parameters of interest for one-port SAWRs and BAWRs are compared in Table I. Above 1 MHz, the un loaded Q in either case falls off with frequency. The circuit

parameters Rj and C0 are comparable for both types of resonators. Bulk-wave crystals exhibit better performance in the areas of temperature stability and long-term stability. The AT-cut of quartz supports bulk waves that have a near- zero temperature coefficient at room temperature with vari ations of about ± 5 ppm in the 0°to 55°C range. The recently developed SC-cut offers still better performance.6 The best temperature stability to date for SAW devices occurs for the ST-cut of quartz. The temperature drift is a parabolic func tion with a zero linear temperature coefficient near 25°C (depending on the exact cut angles with respect to the crystal axes). The quadratic temperature coefficient is ap proximately + 35xlO~9 per °C squared away from the turnover temperature, corresponding to a drift of ±15 ppm over a range of 0° to 55°C.

Temperature-controlled, bulk-wave crystal oscillators are usually chosen for precision frequency applications because of the extremely good long-term stability (aging rate) of BAW resonators. Aging drifts of less than 0.1 ppm per year are obtainable. SAW resonators typically age at rates between 1 and 10 ppm/year.

One of the major advantages of SAW devices is the easy access to the acoustical energy along the signal path. It is possible to choose the shape of the frequency response and placement of the spurious modes by correct positioning of the transducer electrodes and grating grooves. Access to the surface waves also allows for a two-port, resonator-filter design (Fig. I). Because the capacitance between the two IDTs is low, high rejection occurs away from the resonant

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 13

© Copr. 1949-1998 Hewlett-Packard Co.

Page 14: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

10,000

1.0 10 1 0 0 1 0 0 0

Frequency (MHz)

10,000

Fig. 8. Minimum resonant ser ies resistance versus frequency f o r a s i n g l e - m o d e q u a r t z S A W R a s a f u n c t i o n o f d e v i c e length L.

frequency, eliminating the need for external filters in oscil lator design.

The low cost and high availability of bulk-wave crystals

are a result of the maturity of that technology. SAW res onators have been introduced only recently in the manufac turing environment (see box on page 15). BAWRs and SAWRs are about the same size, but the latter can be fabri cated by planar, photolithographic processing techniques (see box on page 11), as opposed to the careful machining and polishing processes required for BAWRs. With con tinued process development and growing demand, it is our belief that SAW resonators will soon be as inexpensive and numerous as their BAW counterparts.

SAW Osci l lators SAW resonators are used primarily as frequency-control

devices in oscillators. The advantages of using a SAWR rather than alternative frequency-controlling schemes (e.g. LC circuits, coaxial delay lines and metal cavity resonators) are high Q, low series resistance, small size and good fre quency stability. These attributes allow the design of small, highly stable oscillators of high spectral purity in the 50 MHz to 1 GHz range.

The design of oscillators using bulk-wave resonators was discussed in a previous HP Journal article.7 It was noted therein that frequency multiplication causes phase noise and sidebands to increase by 20 dB for every decade of multiplication. To achieve low phase noise in the 50 MHz to 1 GHz range, it may thus be advantageous to use a fundamental-mode, SAW-controlled oscillator rather than the usual multiplied output of a crystal-controlled oscil lator. As an added benefit, fewer parts are needed because of the elimination of the multiplying circuitry.

The most commonly used SAWR oscillators are of the common-emitter (or Pierce) type and the common-base type as illustrated in Fig. 9. This figure depicts oscillators using

(cont inued on page 16)

Table I Comparison of surface-acoustic-wave resonators (SAWRs) and bulk-acoustic-wave resonators (BAWRs).

Notes: a. Data Northern by E. Morgan and T. Schuyler, Colorado Crystal Inc., and J. Holmbeck, Northern Engineering, Inc. b. Data provided by J.A. Kusters, Hewlett-Packard Co. c. RI is very temperature dependent for BAWRs. Data is for 25°C. d. AT-cut quartz e. ST-cut quartz f. Maximum approach dissipation is closely related to long-term stability so this value may have to be reduced to approach

the very low aging rates of BAWRs.

14 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 15: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

280-MHz Production SAWR

by Marek E. Mierzwinski and Mark E. Terr ien

HP's Santa Rosa Technology Center has recent ly in t roduced the f i rs t product ion surface-acoust ic-wave resonator (SAWR) de veloped for use in a Hewlett-Packard instrument.1 The SAWR is a s ingle-port , dual- t ransducer device (see Fig. 1) resonant at 280 M H z ( f 0 ) w i t h a t y p i c a l p a c k a g e d Q o f 1 8 , 0 0 0 a n d a t y p i c a l spur ious response of -8.5 dB at f0±300 kHz.

Al though the indiv idual processing steps requi red to manufac tu re , tes t , and package a sur face-acoust ic -wave resonator are s imi lar to those required to produce semiconductor devices, the un ique p rope r t i es assoc ia ted w i th a su r face -wave dev i ce d id create many manufactur ing problems. The processing steps, the test procedures, and the packaging scheme were a l l developed s o t h a t t h e r e s o n a t o r w o u l d b e a n e a s i l y f a b r i c a t e d , p r i c e - compet i t ive drop- in replacement for an overtone bulk-wave crys tal resonator.

The or iginal appl icat ion for this device was as a direct replace ment for a bulk-wave crystal resonator used at i ts 1 1th overtone. The dev i ce was pu t i n t o a he rme t i ca l l y sea led , n i cke l - p l a ted package that had a standard crysta l conf igurat ion (F ig. 2) . This d e v i c e i s s u p p o r t e d b y a g o l d r i b b o n b o n d e d t o t w o 1 - m m - diameter pins and is sealed in nitrogen with a resistance weld to a hermet ic i ty leak ra te less than 10~8 s tandard cc o f he l ium per second.

Cleanliness is extremely important in the assembly and sealing process because any conduct ive par t ic les la rger than 2 /urn in d iameter can potent ia l ly shor t adjacent t ransducer e lectrode f in gers. The device cannot be passivated s ince the SAW energy is conf ined to the sur face of the quar tz . A passivat ion layer would increase the insert ion loss and lower the Q by damping the wave. Even a monolayer of certain types of residue alters the electr ical per formance by an unacceptable amount . Thus, a l l the process ing and tes t ing must be done in a very c lean env i ronment . The de l i ca te , t h in , a lum inum t ransducer e lec t rode pa t te rn w i l l no t a l l o w v i g o r o u s c l e a n i n g t o r e m o v e c o n t a m i n a t i o n o n c e i t i s introduced.

The SAWRs are operated as one-por t dev ices by bonding the two in terd ig i ta l t ransducers ( IDTs) in para l le l . They can be mod e led wi th the equ iva lent c i rcu i t shown in F ig . 6 on page 10. A l l dev ices must meet the fo l lowing speci f icat ions:

Parameter

Resonant Frequency fo (MHz) Resonant Series Resistance Ri (O)

IDT Interf inger Capac i tance Co(pF) Un loaded Q Spur ious Mode Response (dB) (fo±300 kHz)

M i n i m u m T y p i c a l M a x i m u m

2 7 9 . 8 6 0 2 8 0 . 0 0 0 2 8 0 . 1 4 0

3 5 6 0

2.0

10,000

-6

2.5

18,000

- 8 . 5

3 0

Two-port prototype packaged devices have a ser ies resonance res is tance o f less than 240 ohms and one-ha l f o f the one-por t interf inger capacitance per port. Al l measurements are made in a

F ig . 1 . M ic ropho tog raph o f HP 280-MHz one-por t su r face - acoust ic-wave resonator .

50-ohm system using an HP 1000 Computer that contro ls an HP M o d e l 8 4 1 0 N e t w o r k A n a l y z e r a n d a n H P M o d e l 8 6 6 0 A F r e quency Synthesizer via an HP-IB interface. Because of the diff icul t ies in wafer p rocess ing and the s t r ingent cen te r f requency re qu i rements, 53% of the dev ices are re jected before the packag ing s ta te . 85% o f the remain ing dev ices are success fu l l y pack aged and go in to s tock . The abso lu te max imum cont inuous RF power d iss ipat ion is +3 dBm. The packaged devices easi ly wi th stand the 30g shock and 75°C maximum internal operat ing tem perature tests to which HP instruments are subjected.

Th is SAWR was o r ig ina l l y des igned as a p in - fo r -p in rep lace ment for a harmonic bulk-wave crystal in a Colpitts-type oscil lator used in the HP Model 85588 and 8568A Spectrum Analyzers. The dev i ce p rov ides a f r equency -con t ro l l ed f eedback pa th f r om a capacit ive ladder network to the input of a bipolar transistor. The main benef i ts of the SAWR in this appl icat ion are improved spuri ous response and a lower ser ies resonance res is tance . F ig . 3

! , 1

Fig. 2. The SAWR shown in Fig. 1 is packaged in a standard crys ta l package as shown above.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 15

© Copr. 1949-1998 Hewlett-Packard Co.

Page 16: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

279.7 2 7 9 . 9 2 8 0 . 1 Frequency (MHz)

280.3 280.5

- 2 4 . 0 279.5 279.7

(b) 2 7 9 . 9 2 8 0 . 1 Frequency (MHz)

280.3 280.5

F ig . 3 . F requency response fo r (a ) a t yp ica l 280-MHz one- port S AW R compared to (b) a typical eleventh-overtone bulk- acoust ic-wave crysta l .

displays the frequency response of a typical 280-MHz SAWR and the bulk-wave crystal i t replaces. The improved close- in spurious response o f the SAWR decreases the osc i l la to r ' s phase no ise . The spur at f0+300 kHz is a transverse cavity mode that could be suppressed by a s imple redesign of the IDTs. However, the spur causes no degradat ion o f the osc i l la tor per formance for the cur rent appl icat ion and so a redesign is unnecessary. Prec ise fabr i cat ion control a l lows predeterminat ion of the amount of feedback needed fo r osc i l la t ion and the amount o f induc tance needed to resonate out the in ter f inger capaci tance.

A s a d i r e c t r e p l a c e m e n t t h e S A W R m u s t b e p a c k a g e d a s a one-por t device. This resul ts in decreased out-of -band re ject ion a n d h i g h e r b y p a s s i n t e r f i n g e r c a p a c i t a n c e . N e w a p p l i c a t i o n s c o u l d u s e a t w o - p o r t c o n f i g u r a t i o n , w h i c h o f f e r s m u c h b e t t e r o f f - resonance re jec t ion and e l iminates the need to tune out the bypass capac i tance . The 280-MHz SAW dev ice can be used to provide frequency stabi l i ty for any osci l lator configurat ion or f i l ter ing in many c i rcu i t des igns.

Mark E. Terr ien Mark Terrien joined HP in 1 980 as an appl icat ions engineer work ing wi th SAW dev ices , GaAs components , varactors, and YIG products. He is a nat ive of Mi lwaukee, Wisconsin where he attended the University of Wisconsin to earn a BS degree in appl ied mathematics and physics in 1978. Mark then studied for an MSEE degree a t the Mad ison cam pus of the Universi ty of Wisconsin and rece ived i t in 1979. He is a member of the IEEE and Phi Beta Kappa. Mark l ives in Santa Rosa, Cal i fornia wi th his four gui tars and

enjoys p lay ing jazz-rock, dr iv ing automobi les, tast ing the local Sonoma County wines, and outdoor act iv i t ies.

Marek E. Mierzwinski Marek Mierzwinski is a product ion eng ineer for microwave power t rans is tors and SAW dev ices and joined HP in 1 979 after he received a BS degree in appl ied and engineer ing physics from Cornel l Universi ty. He is current ly work ing toward an MSEE degree at Stanford University through a cooperat ive work-s tudy program. Marek has co-authored several art ic les on SAWRs. He is a native of Connecticut (born in Water- bury), single, and l ives in Santa Rosa, Cal i fornia. He is a member of

I the Polish-American Club, serves as president of the HP Bicycle Club, and enjoys photography.

Acknowledgments Many people cont r ibuted to the deve lopment o f the 280-MHz

SAWR, among them a re Me lody Be l l ag io , Dave Benn ie , D i x i e Feenan, Norm Gr i , Kev in Kerw in , Lynn Moughmer and Deanna Schramm.

Reference 1. S. Sur face M. Mierzwinsk i and P. P lant ing, "Product ion o f Sur face Acoust ic Wave Resonators." IEEE Ul t rasonics Symposium Proceedings, 1981.

two-port SAWRs, rather than one-port, to make the need for further filtering unnecessary. In the common-emitter oscil lator of Fig. 9a the SAWR provides resonant feedback from the collector to the base. Inductors are used to remove the reactance (at resonance) caused by IDT capacitance. The impedance and admittance elements Za, Ya, Z2 and Y2 are chosen to transform the impedances between the SAWR and the transistor for optimum power transfer and the low est phase noise. Low phase noise is achieved by maximiz ing the power transfer through the SAW device while re taining a high loaded Q.

The common-base configuration (Fig. 9b) has potentially less noise near the frequency of oscillation because no noise

signal can be present at the base, which avoids the high noise current gain between base and collector. However, this oscillator is only conditionally stable which leads to the possibilities of spurious oscillations, squegging, and a higher noise floor far away from the fundamental signal than exhibited by the common-emitter oscillator. The SAWR provides feedback between the emitter and the col lector. Since the current gain is less than unity, the LC network transforms the impedance of the SAWR at the collector to achieve a power gain large enough to cancel the losses in the loop. These elements are also selected to en sure high power transfer and a zero phase condition around the loop.

16 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 17: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Output

Output

(b)

Fig . or (a) osc i l la tors us ing two-por t SAWRs in a common-emi t ter or P ierce c i rcu i t (a ) and a common-base c i rcu i t (b) .

These two oscillator configurations exemplify the man ner in which the well-established technology of bulk-wave resonators can be readily translated into the UHF range (300 to 3000 MHz) by the use of SAW resonators.

Acknowledgments The authors wish to acknowledge the substantial con

tributions to the establishment of SAWR technology at Hewlett-Packard made by Weldon H. Jackson. We are also indebted to Roger Muat for many helpful discussions about oscillator design.

References 1. E.J. Staples, J.S. Schoenwald, R.C. Rosenfeld and C.S. Hartmann, "UHF Surface Acoustic Wave Resonators," IEEE Ul trasonics Symposium Proceedings, 1974, pp. 245-252.

2. E.K. Sittig and G.A. Coquin, "Filters and Dispersive Delay Lines Using Repetitively Mismatched Ultrasonic Transmission Lines," IEEE Transactions on Sonics & Ultrasonics, Vol. SU-15, No. 2, April 1968, pp. 111-119. 3. P.S. Cross, "Properties of Reflective Arrays for Surface Acoustic Resonators," IEEE Transactions on Sonics & Ultrasonics, Vol. SU-23, No. 4, July 1976, pp. 255-262. 4. W.R. Shreve, "Surface Wave Two-Port Resonator Equivalent Circuit," IEEE Ultrasonics Symposium Proceedings, 1975, pp. 295-298. 5. P.S. Cross, P. Rissman, and W.R. Shreve, "Microwave SAW Resonators Fabricated with Direct- Writing Electron-Beam Lithog raphy," IEEE Ultrasonics Symposium Proceedings, 1980, pp. 158- 163. 6. C.A. Adams and J.A. Kusters, "The SC Cut, a Brief Summary," Hewlett-Packard Journal, Vol. 32, No. 3, March 1981, pp. 22-23. 7. J.R. Burgoon and R.L. Wilson, "SC-Cut Quartz Oscillator Offers Improved Performance," ibid., pp. 20-29.

Peter S. Cross Peter Cross jo ined HP in 1978 as a member of the technica l s taf f a t HP Laborator ies and now is a project man ager for in tegrated opt ica l modulators and pressure sensors. He is a native of Los Angeles, Cal i forn ia and at tended the Cal i fornia Inst i tute of Technology, earn ing a BSEE degree in 1968. Peter cont inued his studies at the Univers i ty of Cal i fornia at Berkeley to receive the MSEE degree in 1 969 and the PhD de- gree in 1974. Before jo ining HP he did research in integrated opt ics and SAW devices. Peter has taught bas ic e lec- I ron ies and semiconductor dev ice

phys ics and was an act ing ass is tant professor at U.C. Berkeley in 1 974. He is named as an inventaron four patents and has authored or co-authored over 20 papers on opt ics and microwave acoust ics. He is a member of the IEEE and the Optical Society of America. Peter and his wi fe l ive in Palo Al to, Cal i fornia and are expect ing their f i rst daughter in January 1982.

Scott S. Ell iott Scott El l iot t received the BSEE and MSEE degrees f rom the Univers i ty of California at Berkeley in 1 969 and 1 971 . Af ter several years of d i rect ing R&D work on mic rowave f i l te rs and osc i l lators he resumed his educat ion at the Univers i ty of Cal i forn ia at Santa Bar bara, earn ing the PhDEE degree in 1979 Scot t came to HP in 1978 as a deve lopment eng ineer for SAW and GaAs dev ices. He now is a pro jec t manager for sur face acoust ic wave technology. Scot t is a member o f the IEEE and has authored or co-authored over 20 publ icat ions concerned with

S A W s , A e l e c t r o n i c s , a n d m i c r o w a v e f e r r i t e c o m p o n e n t s . A native of Aberdeen, Washington, Scott l ives in Sebastopol, California with his wife, new son, dog, cat, and a sheep. He enjoys winetasting, camping, l is tening to jazz, home computers, and woodworking (he recently remodeled his home).

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 17

© Copr. 1949-1998 Hewlett-Packard Co.

Page 18: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Physical Sensors Using SAW Devices by J . F leming Dias

ATYPICAL SURFACE-ACOUSTIC-WAVE (SAW) delay line as described on page 3 has two interdigi- tal transducers (IDTs) that are photolithographically

defined on an ST-cut quartz substrate with a known separa tion between them. If this delay line is stretched along the propagation direction or bent as a cantilever beam, the surface of the substrate becomes stressed. The surface stress causes an elongation of the substrate which in turn in creases the center-to-center distance between the two IDTs. Moreover, if the level of the stress is high, the elastic con stants and density of the material change, causing the value of the surface-wave velocity vs to change. Elongation and velocity changes are also brought about by changes in the ambient temperature. These parameter changes allow a SAW delay line to function as a temperature, pressure, force, or displacement sensor. A rather elegant method of using a delay line in a practical sensor is to introduce it in the feedback loop of an amplifier to obtain an oscillator whose frequency is a function of the surface stress.1'2

Fig. 1 shows the schematic representation of a delay line oscillator, in which the total phase shift around the loop is given by

where </>0=cuT=27rfL/vs is the phase shift experienced by a wave traveling from an input IDT to a similar IDT situated a distance L from it. A$0 is the incremental phase shift caused by stressing the substrate and/or changing its temperature. <£ex is the extra phase shift introduced by the amplifier and matching network. The phase shift 4>0 through the delay line is usually much greater than 4>ex. When £<£=2n7r (n is an integer) and the total insertion loss around the loop is less than the amplifier gain, the system oscillates. The oscil lator generates a comb of frequencies given approximately by nvs/L. Due to the inherent sin x/x response of a simple IDT, only some of the modes are sustained and in practice

only one mode at a time will be excited because of non- linearities in the amplifier.

For a given mode, any perturbation in the loop phase forces the system to alter the oscillator frequency to com pensate for this change by adjusting the total phase shift to be a multiple of 2-rr. From equation (1) the oscillator fre quency will change when L and vs are altered (assuming that 4>ex remains constant). In crystalline quartz, the frac tional change in SAW velocity is a small factor compared to the surface strain caused by an elongation in L. We can therefore expect a decrease in oscillator frequency when L is increased by applying direct axial tension to the substrate.

An oscillator of the type described above also undergoes an undesired change in frequency with temperature as shown in Fig. 2. The parabolic nature of the curve forces one to operate the sensor at the turnover temperature where there is a reduced effect on the frequency. In the early years of SAW development, Jack Kusters at HP computed the turnover temperatures of several rotated Y-cuts of quartz and those results are shown in Fig. 3. For comparison, the experimental results obtained later are also shown.

A force transducer was built using a cantilevered struc ture as shown in Fig. 4 where the surface acoustic wave propagates in the X-direction of a rotated Y-cut quartz sub strate. The free end of the cantilever was loaded with known weights and the frequency of the oscillator was measured as a function of the total weight.

Even though the crystal cut was chosen to yield a turn over point near room temperature, the variation of the oscil lator frequency with temperature was still a major limita tion. Thus, to obtain an improved degree of temperature stability and double the force sensitivity, surface waves were propagated on both faces of the substrate using two pairs of IDTs. The IDTs located on opposite faces were offset

Bidirectional - a n d C o n v e r s i o n - Â » U - P r o b a t i o n _ i

Loss Loss

Acoustic Absorber

Bidirectional and Conversion

Loss

F i g . 1 . S i m p l i f i e d s c h e m a t i c o f a n o s c i l l a t o r u s i n g a S A W de lay l ine as the feedback component .

CL

£

I

g O c e Ü

Turnover Temperature

3 0 4 0 5 0 6 0 7 0 8 0

Substrate Temperature (°C)

90

Fig. 2. Frequency shi f t versus temperature for a crystal . The point where the shi f t reverses direct ion is cal led the turnover temperature.

1 8 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 19: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

-- --.

m

u

4 3

i . 4 2

I 4 1

z -, -

\ / Experimental

Theoretical (Kusters) \\' x\ \\

Turnover Temperature (°C)

Shield Plane

3

2

1 - -

- 2 - -

^ ^ <&• í̂ü.-* 6 0 8 0 1 0 0 1 2 0 1 4 0 1 6 0 1 8 0

(b,

Fig. 4. (a) Basic conf igurat ion of a force sensor using a can- t i levered subst ra te wi th SAW delay l ines on opposi te faces. The responses fo r each SAW de lay l ine osc i l la to r and the i r d i f ference are shown in (b) .

sus crystal cut angle of rotation for Y-cut o-quartz.

to minimize crosstalk, and ground-plane shields were in cluded as shown. Each side was associated with a separate oscillator. When the substrate was deflected, one of the surfaces was under tension and the other in compression. Hence, the corresponding shifts in oscillator frequencies were in opposite directions. Changes in frequency caused by temperature variations, however, were in the same direc tion. When the oscillator outputs were mixed electronically and the difference frequency was monitored, we observed, as shown in Fig. 4b, the double force sensitivity that we expected and a very reduced sensitivity to temperature. Fig. 5 shows a complete force transducer using the double oscil lator configuration.

Using similar schemes, pressure transducers were built

Fig. 5. Photograph of a complete force sensor using double- osci l lator design.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 19

© Copr. 1949-1998 Hewlett-Packard Co.

Page 20: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Phenolic

- S l e e v e 0 -R ing Sea l

Pressure Port —

(a)

Quartz Diaphragm

SAW Resonator

. 2

í

50 100 1 5 0 2 0 0 2 5 0 3 0 0

(b) Pressure (mmHg)

Fig. a (a) Phys ica l conf igurat ion o f pressure sensor us ing a S A W r e s o n a t o r , ( b ) C h a n g e i n r e s o n a n t f r e q u e n c y v e r s u s pressure for sensor shown in (a) .

solely from quartz to eliminate the problem of matching the temperature coefficients of various parts of the sensor.

Sensors can also be made from the resonator configura tions described on page 13.3 An example of a pressure transducer is shown in Fig. 6 , where the resonator is located in the middle of a diaphragm and the pressure is applied to the opposite face. The change in oscillator frequency is

J. Fleming Dias Fleming Dias rece ived the BE degree in c iv i l engineer ing f rom the Universi ty of Poona, India, in 1954, the MSE degree (1957) in c iv i l engineer ing and the BSE degree (1958) in electr ical en g ineer ing f rom the Univers i ty o f Mich i gan, and the MS degree in e lec- t rophysics f rom DePaul Univers i ty , Chicago, I l l inois in 1970. He joined HP in 1972 wi th prev ious exper ience in stereo FM demodulators, SAW IF f i l ters for TV receivers, and acousto-opt ic modula tors . At HP he has worked on SAW osci l lators for force sensors, the technology for the HP 1290 b lood

pressure t ransducer and the phased ar ray t ransducer used in the HP 77020 Ul t rasound Imaging System, and f iber -opt ic technology. He recently left HP to work on acoustic imaging systems. As an author or co-author F leming has wr i t ten th i r teen papers and is named as the inventor or co- inventor on over 25 patents re lated to topics con cerned wi th the var ious technolog ies he has worked on. He is a senior member of the IEEE and a nat ive of Goa, India. Fleming and his wi fe l ive in Palo Al to, Cal i forn ia and he enjoys photography, mus ic , and t rave l when he is no t exper iment ing in h is home e lec tronics laboratory.

linear with pressure as shown by Fig. 6b.

Acknowledgments The author gratefully acknowledges the technical assis

tance given by George Nelson and Henry Yoshida in pro cessing the quartz substrates. Rene Cook and Dorothy Hol lars were responsible for the intricate photolithography work and wire bonding of the IDTs. Al Benjaminson de signed the wideband amplifiers and together with Ed Kar- rer provided many helpful ideas on sensor applications.

References: 1. J. F. Dias and H.E. Karrer, "Stress Effects in Acoustic Surface- Wave Circuits and Applications to Pressure and Force Transduc ers," Pro IEEE International Solid-State Circuits Conference Pro ceedings, pp. 166-167. 2. A.L. Nalamwar and H. Epstein, "Strain Effects in SAW De vices," Proceedings of the IEEE, Vol. 64, no. 5, May 1976, pp. 613-615. 3 . J . F . D i a s , H . E . K a r r e r , J . A . K u s t e r s , a n d C . A . A d a m s , "Frequency/Stress Sensitivity of SAW Resonators," Electronics Letters, Vol. 12, no. 22, October 28, 1976, pp. 580-582.

2 0 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 21: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Proximity Effect Corrections by Means of Processing: Theory and Applications HP's e lec t ron beam l i thography sys tem has been used to eva luate methods o f reduc ing the unwanted e f fec ts o f electron scatter ing.

by Paul Rissman and Michael P .C. Wat ts

IN ELECTRON BEAM LITHOGRAPHY, the proximity effect is the unwanted exposure of resist caused by elec trons scattering in the resist layer and from the sub

strate. This leads to pattern features different from those designed. It is a major limitation to the fabrication of high- resolution integrated circuit devices by means of electron beam lithography. The proximity effect has been investi gated by means of a computer model, and processing methods that can improve pattern fidelity have been ex plored. Significant reduction in the proximity effect can be achieved by two methods: the small-beam approach and multilayer resist techniques. Both of these methods have been tested experimentally on the HP electron beam lithog raphy system described in these pages in May 1981.1

The cause of proximity effect may be seen in Fig. 1 , which shows the calculated trajectories of 100 electrons incident at a point on a silicon surface coated with a layer of resist 0.4 /mi thick.2 The range of the scattered electrons is large; energy can be distributed as far as 6/L¿m from the point being exposed. The way that this scattering affects the litho graphic results is illustrated by Fig. 2, which shows model ing data for 0.4 /¿m of PMMA electron-sensitive resist on silicon exposed by single scans of a 0.5-/¿m-diameter

•Polymethyl methacrylate

A i m 3

U n d e v e l o p e d P r o f i l e

N

Z u.m

Fig . 1 . The ca lcu la ted t ra jec to r ies o f 100 e lec t rons w i th an energy of 20 keV incident on a 0.4- f jm-thick PMMA resist layer on a s i l icon substrate ( f rom Kyser and Murata2).

Undeve loped Pro f i l e -

L ine /Space Pro f i l e I so la ted L ine P ro f i l e

( b ) 0 . 5 / Â ¿ m

U n d e v e l o p e d P r o f i l e ^ - I s o l a t e d L i n e P r o f i l e

0 . 5 u m ^ L i n e / S p a c e P r o f i l e

Fig. of Proximity effect for l ines exposed with a single scan of a 0.5- f j tm-diameter beam into a 0.4- / jm-th ick layer of PMMA resist on a sil icon substrate. The pixel width is 0.5 /MTI. Shown are modeled profi les of the developed resist for (a) the central region of five 0.5- /Jim-wide lines with 0.5- ¡Jim-wide spacing and ( b ) a n i s o l a t e d 0 . 5 - f j t m - w i d e l i n e , ( c ) s h o w s ( a ) a n d ( b ) s u p e r i m p o s e d f o r c o m p a r i s o n , ( d ) S E M p h o t o g r a p h o f e x per imenta l resul t for f ive equal l ines and spaces in PMMA.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 21

© Copr. 1949-1998 Hewlett-Packard Co.

Page 22: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

20-keV electron beam. Fig. 2a shows the result for the cen tral region of a series of five 0.5-/xm-wide lines and spaces (the lines are the exposed regions), and Fig. 2b shows the result for an isolated 0.5-/xm-wide line. The width of the center line of the line-space combination is 50% larger than that and the isolated line. The resist wall angle is sloping, and there is considerable thinning of the resist in the unexposed region. Fig. 2d shows a scanning electron microscope (SEM) picture of several 0.5-/xm lines and spaces exposed on the HP electron beam lithography system. The experi mental and model profiles are in good agreement.

Various methods have been used to limit the proximity effect. The most widely used method involves preprocess ing the input data and modifying the dose at exposure time. This method has been used with vector-scan electron beam systems.3 A second method also involves preprocessing the input data; the exposure shapes are modified by the addi tion or subtraction of scans to achieve a uniform dose. This method has been applied to electron beam systems that are modified electron microscopes, where the smallest patterns are formed by many beam scans.4

In our work, other methods, which do not preprocess the input data, have been used to limit the proximity effect. Some of these techniques involve special resist processing, hence the term: proximity effect correction by processing. These processing techniques reduce proximity effect by

(a)

Fig. 3. Model ing data for resist prof i les at 25-second intervals dur ing development for a 0.5- / j im-wide iso lated l ine exposed with (a) one scan of a 0.5-i jm-diameter beam, (b) two adjacent scans o f a 0 .25 - / j un -d iame te r beam, and ( c ) f ou r ad jacen t scans of a 0.125-¡jm-diámeter beam.

minimizing the effect of backscattered electrons and reduc ing their number.

The model for electron beam exposure and development, which was written by Steve Eaton and Armand Neuker- mans, is described in the box on page 24. This model is an extremely useful tool for the process engineer to gain an understanding of the exposure and development of positive electron resist (i.e., exposed areas are removed when the resist is developed). Simulation parameters such as expo sure dose and development time can be varied easily. Vari ables such as resist contrast or substrate material, which are not so readily adjusted experimentally, can be changed quickly to gain an understanding of their relationship to electron beam lithography performance.5

Modeling data can be used to improve the understanding of the process by plotting the resist profile as a function of development time. Fig. 3 illustrates this for a 0.5-/¿m line written with one, two, and four scans of an electron beam that has a Gaussian intensity profile along its diameter and beam diameters of 0.5, 0.25, and 0.125 ¿¿m, respectively (Fig. 4). The contours represent the line profile at 25-second development-time intervals. It can be seen that linewidth control is enhanced by writing lines with multiple beam scans. In addition, proximity effect is reduced by the use of multiple beam scans. However, there is a penalty paid in this approach because of the increased amount of data to be handled and the increased exposure time. For an increase by a factor n in the number of scans per critical dimension the beam must address n2 additional pixels.

The use of a single scan for critical dimensions has dis tinct advantages in terms of increased throughput. There are disadvantages, however, most specifically the problem of lines written perpendicular to the raster-scan direction. As the HP electron beam system is presently configured, these lines consist of individual blankings of the beam. Thus they will appear as tangentially connected spots if the proximity effect is totally eliminated and the single-scan approach is used.

Current Density

Beam Width at Half Maximum Intensity

Fig. 4. Gaussian e lectron beam current densi ty prof i le show ing how beam d iameter is def ined.

2 2 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 23: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

.5 - -

. 4 - -

2 E

i .2- -

.1- -

Large Proximity Effect

No Proximity Effect

0 . 1 . 2 . 3 . 4 . 5 . 6

Beam Diameter (/¿m) in 0.5-^m Pixel

Fig. 5 . Var ia t ion of prox imi ty ef fect as the beam diameter is varied in a 0.5- tun pixel.

Smal l -Beam Approach In conventional electron beam lithography, the beam

diameter (width at half maximum intensity) is chosen to be the same as the pixel width (i.e., a 0.5-/um beam is used with a 0.5-/u,m-wide pixel). The modeling indicates, however, that this may not be the optimum approach. For a 0.5-fj.m- wide pixel, a minimum proximity effect can be found at a beam diameter of about 0.3/j.m (see Fig. 5). This minimum can be explained by considering the energy delivered into the pixel and noting that at the 0.5-ju.m beam diameter, the energy is not maximized.6'7

The reduction in the proximity effect can be seen in Fig. 6, which shows modeling data similar to that shown in Fig. 2, but for a 0.3-/¿m-diameter beam. The linewidth for the line/space combination is closer to that for the isolated line, indicating a reduction of the proximity effect. Also, the resist profiles have steeper slopes and the resist remaining in the unexposed areas is sufficient to protect the underly ing layer during pattern etching. Linewidth control is im proved by a factor of four over the result for a 0.5-/jon beam. Experimental results confirm the predictions of the model. Fig. 6b is an SEM photograph of 0.5-/um-wide lines and spaces exposed with a 0.28-/nm-diameter electron beam. The profiles are much improved over those obtained with a 0.5-/um beam (Fig. 2).

The small-beam approach reduces the proximity effect and makes greater linewidth control possible, but it also reduces machine throughput because the reduction in beam diameter leads to a smaller beam current (beam cur rent density is approximately constant).

Mul t i l aye r Res i s t Multilayer resist systems are a useful method to extend

the range of optical lithography.8'9 10 A thick bottom layer allows good step coverage and provides a means of smooth ing the substrate surface. Multilayering can also limit the proximity effect by placing the electron-sensitive layer out side the range of the electrons backscattering from the sub strate surface. Modeling data indicates that, as the thickness of the bottom absorbing layer is increased, the proximity effect is reduced. For bottom layers thicker than 2.5 /¿m no further reduction is obtained because backscattering from the bottom layer then becomes the significant contribution to proximity effect.

Fig. 7a shows modeling data for a 3-¿un-thick polymer absorbing layer. The improvement over the data displayed in Fig. 2 is evident. The linewidths are nearly the same and almost all of the initial resist thickness remains in the unex posed region. The resist wall slope reflects the Gaussian intensity profile of the 0.5-/nm diameter electron beam used for exposure. Further improvement can be obtained by using a small beam approach with a multilayer structure. The modeling data in Fig. 7b shows the result for a 0.3-/xm-

(cont inued on page 25)

Undeveloped Profi le Isolated Line Profi le

(a) 0.5 /¿m Line/Space Profi le

Fig. 6. Proximi ty ef fect reduct ion in l ines exposed under the same condit ions as for Fig. 2 except that the beam diameter is r e d u c e d t o 0 . 3 / j m . ( a ) S u p e r i m p o s e d f o r c o m p a r i s o n a r e modeled prof i les of the developed resist for the central region of f ive 0.5-f j¡m-wide l ines with 0.5-f j im-wide spacing and an iso la ted 0 .5-^wide l ine , (b ) SEM photograph o f a c ross sec t ion through f ive equal l ines and spaces in PMMA.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 23

© Copr. 1949-1998 Hewlett-Packard Co.

Page 24: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Monte Carlo Simulations for Electron Beam Exposures

by Armand P. Neukermans and Steven G. Eaton

When energe t i c e lec t rons (20 keV) in te rac t w i th mat te r they penetrate and scat ter qui te appreciably on a microscopic scale. For inf ini tely thick targets, this behavior can be described analyt i ca l ly in te rms o f fo rward and backward scat tered d is t r ibu t ions. This analyt ical approach becomes di f f icul t when mult ip le- layered st ructures such as res is ts on s i l icon must be studied.

A few years ago a Monte Carlo method was developed, ini t ial ly t o d e s c r i b e b a c k s c a t t e r i n g f r o m a l l o y s . ' L a t e r t h e t e c h n i q u e was carr ied over to proximity problems in electron l i thography. As the name Monte Car lo impl ies, d ice, or more appropor iate ly ran dom numbers , a re used to s imu la te the behav io r o f e lec t rons statistically.

Suppose, for example, that an electron can cause one of three events: A with a probability of 20% , B with a probability of 50% , or C w i t h 3 0 % p r o b a b i l i t y . W e g e n e r a t e a r a n d o m n u m b e r a (0=sa«1) and decide that A happens for 0«a<0.2, B occurs for 0.2 «a< 0.7, and C occurs for 0. 7 =s«=s 1 . If the random number is t ru ly random, over a large number of t r ia ls the three events wi l l occur the correct number of t imes. In a very s imi lar way, we can descr ibe processes that have cont inuous probab i l i t y dens i t ies . Consider, for example, a f ict i t ious electron scattering process, as i l lust rated in F ig. 1a. Al l scat ter ing angles are possib le, but not e q u a l l y p r o b a b l e . W e g e n e r a t e f r o m t h i s g r a p h a c u m u l a t i v e d is t r ibut ion by integrat ion and normal izat ion (Fig. 1b) . Each ran dom number a i s made to co r respond to a sca t te r ing ang le as ind icated. Again, over many events, scat ter ing accord ing to the pa t te rn o f F ig . 1a w i l l occu r . Th i s bas i c p rocedu re w i t h some var iants a l lows us to s imulate very complex problems, prov ided we know the probab i l i t ies o f a l l poss ib le events and repeat the process enough t imes to obta in s tat is t ica l ly meaningfu l data.

C o n s i d e r a n e l e c t r o n a p p r o a c h i n g a r e s i s t l a y e r o n s i l i c o n under normal inc idence. S ince we know i ts energy, we know i ts mean f ree path , the ra te a t which i t i s los ing energy ( the Bethe energy loss formula2) and its probabil ity of interaction with each of the atoms of the resist (C, H, O, Cl,. . .) . I t travels in a straight l ine unti l i t col l ides with one of the resist atoms, whereupon it scatters elast ical ly (screened Rutherford scatter ing3). I ts direct ion is now changed , i t s ene rgy i s s l i gh t l y d i f f e ren t f r om be fo re , and t he energy loss ra te and in terac t ion c ross-sec t ion are s l igh t ly mod i f ied. This process is cont inued unt i l the energy of the incoming

Scattering Probability

Density

Cumulative Probability

Density

Fig. 1 . I f scat ter ing angles occur randomly according to the probabi l i ty density (a), their cumulat ive distr ibut ion is (b), and each angle can be made to correspond to a random number a as ind icated. In computer s imulat ions wi th a random number generator , scat ter ing accord ing to (a) wi l l be s imulated.

electron is spent, which may require several hundred col l is ions. I t s pos i t i on i s mon i to red , s ince i t may en te r the s i l i con where di f ferent scatter ing condit ions exist , or turn around (backscatter) and leave the resist , in which case the calculat ion is terminated.

The process is repeated over and over aga in w i th o ther e lec t rons under ident ica l impact condi t ions. The res is t space under the impact po in t i s d iv ided in to a number o f to ro ida l boxes . As success i ve e l ec t r ons t r ave rse a box t he ene rgy l os t by each e lect ron in that box is reg is tered and accumulated.

After twenty to thir ty thousand electron impacts the dissipated energy d is t r ibut ion, as g iven by the content o f these boxes, be c o m e s q u i t e s m o o t h . W e n o w h a v e o b t a i n e d t h e t h r e e - d i m e n s i o n a l p o i n t - s p r e a d f u n c t i o n o f t h e e n e r g y d i s t r i b u t i o n around the impact po in t . Th is may be convo lved wi th the beam dis t r ibut ion (usual ly Gaussian) and beam st rength to obta in the energy distr ibution under a real beam. Once this exposure data is known for the resist, i t can be coupled with a development model, wh ich descr ibes how the res is t deve lops as a func t ion o f expo sure. The Berkeley SAMPLE program4 was modi f ied to do th is .

The o r i g i na l p rog ram was w r i t t en i n ALGOL on an HP 1000 Computer . S imula t ing enough e lec t rons requ i red severa l days,

(cont inued on next page)

Steven G. Eaton Steven Eaton worked on the e lec t ron beam exposure s imulat ion program in 1979 while he was sti l l a student. He jo ined HP Laborator ies in 1980 a f te r rece iv ing h is BS de gree in engineer ing and his MS de-

f t gree in electr ical engineer ing f rom Cal i fornia Inst i tute of Technology. He 's now work ing on x - ray l i thog raphy technology deve lopment . Steven's home town is Sal ina, Kan sas, and he now l ives in Palo Al to, California. Bicycling heads his l ist of interests.

Armand P . Neukermans | Armand Neukermans is manager o f

HP Laborator ies ' x-ray l i thography pro ject . Born in Okegem, Belg ium, he rece ived h is BSME and BSEE degrees f rom Louvain Univers i ty in 1962, d id engineer ing work for the next six years, and then returned to schoo l and rece ived h i s MSEE de gree from Arizona State University in 1 967 and his PhD in applied physics f rom Stanford Universi ty in 1970. Af ter three years of xerographic Physics research, he jo ined HP Laborator ies in 1973. Among h is

i cont r ibut ions are a ces ium iod ide medica l x- ray imaging screen and an e lect ron capture detector for gas chromatography. H is work has resu l ted in n ine patents and 12 papers. He's member of IEEE. Armand is married, has four children, l ives in Palo Alto, California, teaches religious education, and en joys jogg ing and swimming.

24 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 25: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

which was prohibit ively long. Steven Eaton rewrote the program in assembly language and FORTRAN and improved i t to the po in t where a point-spread function is now obtained in one to two hours. Beam sizes or scan rates are then convolved very rapidly and the resul tant etch pat terns d isplayed. This makes i t a very powerfu l too l fo r se t t ing up res is t exper iments and unders tand ing res is t pat terns in e lect ron beam l i thography.

References IK Múra la . T Matsukawa and R Shimizu. "Monte Car lo Calculators on Electron Scattering m a Sotd Target," Japanese Journal of Applied Physics. Vol. 1 . p 678. 1 971 2. HA. Bethe. Handbuch der Physik. Springer-Vertag. Vol. 24. p. 519. 1933. 3 . G Wentze) . Z . Phys . . Vo l 40 . p 590 . 1927 4 SN Nandgaonkar "Design of a Simulator Program (SAMPLE) for 1C Fabrication." University of California at Berkeley ERL Report M79/16. June 1978

U n d e v e l o p e d P r o f i l e L i n e / S p a c e P r o f i l e I s o l a t e d L i n e P r o f i l e

0 .4 /z

3 /j B o t t o m A b s o r b e r L a y e r

. S i l i c o n S u b s t r a t e Y / / / / / / / / / / / .

U n d e v e l o p e d P r o f i l e - ^ L i n e / S p a c e P r o f i l e I s o l a t e d L i n e P r o f i l e

0 . 4 | / m

3Mm B o t t o m A b s o r b e r L a y e r

Fig. the Proximity effect reduction for l ines exposed under the same condit ions as for Figs. 2 and 4 except that a 3- ton-thick absorbing polymer layer is used under the 0.4- ton-thick resist layer. Shown are modeled prof i les of the developed resist for the central region of f ive 0.5- ton-wide l ines with 0.5- ton-wide spacing and an isolated 0.5- ton-wide l ine, (a) Beam diameter 0 .5 tur } , (b) Beam diameter 0 .3 ion.

diameter beam. The experimental verification of the mul tilayer method is shown in Fig. 8. The SEM photos show the center lines of single-scanned 0.5-ptm-wide lines as the spacing between the lines is varied. Shown are spacings of 0.5/im, 1 fjon, 1.5 f¿m, 2.0 ftm and 4 fj.ni, where lines with •í-fím spacing can be considered as a series of isolated lines. There to little linewidth variation; this can be attributed to the 3-/¿m-thick absorber layer used.

A problem of the multilayer technique is how to transfer the well-defined features in the thin top resist layer to the thick bottom layer. In the trilevel process, the pattern is transferred from the resist image layer to a thin interlayer, which acts as a mask for oxygen reactive-ion etching of the thick bottom layer.8 The transfer method is anisotropic, and aspect ratios as high as 5:1 (depth:linewidth) can be achieved. Many materials have been used for the inter mediate and base layers. At HP, a silicon interlayer process was developed for the multilevel resist systems.11 Silicon is attractive as an interlayer because it is a noncontaminant to integrated circuit processing, it is resistant to the oxygen plasma etch, it will not charge during electron beam expo sure, and it can be easily deposited by evaporation or sput tering techniques. Typically, Hunt's HPR resist was used as the bottom layer although PMMA can be used if undercut ting of this layer can be tolerated. Fig 9 shows an isolation level for a RAM device patterned in the bottom layer of the trilevel structure. For this experiment, PGMA negative elec tron beam resist was used with a silicon interlayer and 3 /xm of HPR as the bottom layer. The smallest critical dimensions are 1 fj.m.

The elimination of backscattering by the use of multilayer resist systems has raised an additional concern for direct

0.5 /¿m Line, 0.5 /«m Space

0 .5 i im L ine , 1 /¿m Space

0 . 5 f t m L i n e , 1 . 5 p m S p a c e

0 . 5 ; / m L i n e , 2 m S p a c e

0 .5 / jm L ine , 4 ¿ im Space ( i so la ted l ine )

Fig. 8. Proximity ef fect reduct ion using mult i layer technique. The SEM photographs show the actual widths of 0.5- ton-wide l ines to the spacing between the l ines is varied from 0.5 ton to 1.0 /on, 1 .5 ton, 2.0 ton, and 4.0 ton. The mult/ layer structure consists of the pat terned layer (0.4- ton-th ick layer of PMMA resist), the transfer layer (0.07 -tun-thick si l icon f i lm), and the absorber layer (3 - ton - th ick layer o f Hun t HPR res is t ) . The beam diameter in this experiment was equal to the pixel width.

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 25

© Copr. 1949-1998 Hewlett-Packard Co.

Page 26: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Fig. 9. This is a scanning electron micrograph of the isolat ion l eve l f o r a po r t i on o f a r andom-access memory (RAM) pa t terned in the bottom layer of a tr i /evel resist structure. The top layer was exposed in the HP e lec t ron beam l i thography sys t e m . T h e m u l t i l a y e r p r o c e s s r e d u c e s p r o x i m i t y e f f e c t a n d g ives h igh-aspec t - ra t io l ines idea l fo r mask ing dry e tch p ro cesses. The smal les t c r i t i ca l d imens ions shown are 1 tun.

writing. Since the electron beam itself is used as a probe to find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments, how ever, prove that this is not the case. As shown in Fig. 10,

thick resist degrades the fiducial mark signal from that obtained with a single resist layer, but the machine is able to locate the fiducial mark centers.

Two other techniques of pattern transfer involve two- layer methods. The first is the patterning of the bottom layer by deep-ultraviolet (UV) radiation.9 If the electron-beam- sensitive top layer absorbs UV, a flood UV exposure can be used for image transfer.

The second technique involves using a bottom absorber layer that is electron-beam-sensitive. If the developer for the top layer leaves the bottom layer unchanged and vice versa, optimum liftoff structures can be produced.10 While this method is limited in its application to producing high- aspect-ratio resist structures, it does provide a means to reduce the proximity effect in several special applications (0.3-/n.m-wide lines and spaces have been fabricated for surface acoustic wave devices). This method has another distinct advantage. Because the bottom layer is not affected by the top-layer developer, a pinhole in the top layer will not be transferred to the metal structure produced by liftoff. Consequently, extremely thin and hence high-resolution image layers can be used.

An example of model output and experimental resist profiles for liftoff structures with 0.5-^m lines and spaces is shown in Fig. 11. These structures have been used to pro duce surface acoustic wave devices with critical dimen sions of 0.5 pirn and 0.3 /xm that operate at 1.6 GHz and 2.6 GHz, respectively.12

Acknowledgments Understanding of the proximity effect was greatly en

hanced by means of Armand Neukermans' and Steve Eaton's computer model. The resist reactive-ion etch work presented here is a joint project of one of the authors (PR) with Jim Kruger and Mark Chang of HP's Physical Elec tronics Lab (PEL). The authors would like to thank their colleagues, Hsia Choong, Marsha Long, Rich Meriales, Dick

' L i f t o f f i s a p rocess i n wh ich a l aye r , t yp i ca l l y me ta l , i s despos i ted on a wa fe r and the unwanted por t ions are " l i f ted o f f " by d isso lv ing the res is t under them- Appl ica t ion o f the technique requires the product ion of the mushroom-l ike resist st ructures shown in Fig, 11, The undercu t s t ruc ture a l lows so lvent to ge t in and d isso lve the s tem o f the mushroom, thereby removing anything deposi ted on the top of the mushroom. The mater ia l deposi ted between the mushrooms is not removed.

( a ) S i g n a l o n S i n g l e - L a y e r R e s i s t ( b ) S i g n a l o n M u l t i l a y e r R e s i s t w i t h 3 - f i m B o t t o m L a y e r

F i g . 1 0 . P h o t o m u l t i p l i e r o u t p u t d a t a f r o m e l e c t r o n b e a m s c a n s across f our arms of a fiducial mark covered wi th (a) a th in resist coat i n g a n d ( b ) a m u l t i l a y e r r e s i s t structure. The centers of the arms o f t he mark a re i nd i ca ted by the broken l ines. The s igna l f rom the mark covered w i th th i ck res is t i s degraded, but accurate a l ignment is st i l l possible.

26 HEWLETT-PACKARD JOURNAL DECEMBER 1981

© Copr. 1949-1998 Hewlett-Packard Co.

Page 27: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Top Resist Layer

Bottom Resist 4 _ L a y e r

F ig . 11 . Mush room-shaped l i f t o f f s t r uc tu res can be gene r a ted by us ing a two- laye r p rocess where the deve loper fo r each layer does not affect the other layer. The bottom layer is overdeveloped, creat ing the undercut prof i le modeled in (a) for 0.5- f jm-wide l ines and spaces using a 0.3- f j jn-thick bottom layer and a 0.1- f jun-thick top layer, (b) SEM photograph of the experimental result .

Moung, Steve Muto, Judith Seeger, and Jen-Te Wang for their help and encouragement. Finally, the authors are in debted to Frank Ura (PEL) and Fredrick Kahn of HP's Solid State Lab (SSL) for providing an environment that has made this research possible.

References 1. J.C. Eidson, W.C. Haase, and R.K. Scudder, "A Precision High- Speed Electron Beam Lithography System," Hewlett-Packard Journal, May 1981. 2. D. Kyser and K. Murata, "Monte Carlo Simulation of Electron Beam Scattering and Energy Loss in Thin Films on Thick Sub strates," Proceedings of the Sixth International Conference on Elec tron and Ion Beam Science and Technology, Electrochemical Soci ety, 1974, pp. 205-223. 3. M. Beam "Corrections to Proximity Effects in Electron Beam Lithography," Journal of Applied Physics, Vol. 50, 1979, pp. 4371-4387. 4 . C . i n a n d N . W i t t e l s , " P r o x i m i t y E f f e c t C o r r e c t i o n i n Vector-Scan Electron-Beam Lithography," SPIE Developments in

Microlithography ffl, 135, (1978), pp. 54-58. 5. P. Rissman, "Studies of Proximity Effect by Computer Modeling of Electron Beam Exposure and Development." unpublished inter nal HP report, April 1980. 6. J.S. Greenich, "Impact of Electron Scattering on Linewidth Con trol," Journal of Vacuum Science and Technology, Vol. 16, 1979, pp. 1749-1753. 7. P. Rissman and M. Watts, "Optimization of Beam Diameter for Proximity Effect Reduction," in preparation. 8. J, Moran and D. Maydan, "High Resolution, Steep Profile Resist Patterns," Bell System Technical Journal, Vol. 58, 1979, p. 1027. 9. B. Lin, "Portable Conformable Mask - a Hybrid Near-Ultraviolet and Deep Ultraviolet Patterning Technique," SPIE Developments in Semiconductor Microlithography IV, 174, (1974), pp. 114-121. 10. I. Haller, R. Feder, M. Hatzakis, and E. Spiller, "Copolymers of Methymethacrylate and Methacrylic Acid and their Metal Salts as Radiation Sensitive Resists," Journal of the Electrochemical Soci ety, Vol. 126, 1979, p. 154. 11. J. Kruger, P. Rissman, and M. Chang, "Silicon Transfer Layer for Mult i- layer Resist System," Proceedings of the 16th Sym posium on Electron, Ion and Photon Beam Technology, May 1981, Dallas, Texas (to be published). 12. P.S. Cross, P. Rissman, and W.R. Shreve, "Microwave SAW Resonators Fabricated with Direct-Writing Electron-Beam Lithog r a p h y , " P r o c e e d i n g s o f t h e 1 9 8 0 U l t r a s o n i c s S y m p o s i u m , pp. 158-163.

Paul Rissman Paul Rissman has been work ing on e lec t ron beam process ing s ince he jo ined HP in 1979. A graduate o f the Universi ty of Wisconsin at Madison, he rece ived h is BS and MS degrees in electrical engineering in 1 971 . Paul has extensive exper ience in e lectron l i thog raphy and other areas of research. He has authored s ix teen papers and is a member o f the Amer ican Vacuum Society.

Michael P.C. Watts Michael Watts jo ined HP in 1979. His work has inc luded the s tudy o f new re s is t mater ia ls for e lectron beam and x-ray l i thography. He received the BSc and PhD degrees in po lymer sc ience and technology f rom the Univers i ty of Manchester Inst i tu te of Science and Techno logy i n 1974 and 1977 respec t ively, d id postdoctoral research at the Univers i ty of Massachuset ts , and has authored several papers on mul t ib lock polymers, u l t ra-high-modulus polyethylene, and posi t ive resist characterization. He is a member of the Amer ican Chemical Soc iety .

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 27

© Copr. 1949-1998 Hewlett-Packard Co.

Page 28: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

H E W L E T T - P A C K A R D J O U R N A L Vo lume 32 January 1981 th rough December 1981 naex Hewlet t -Packard Company, 3000 Hanover Street , Palo Al to, Cal i forn ia 94304 U.S.A.

Hewlet t -Packard Cent ra l Mai l ing Depar tment , Van Heuven Goedhar t laan 121, 1181 KK Amste lveen, The Nether lands Hewlet t -Packard (Canada) L td . , 6877 Goreway Dr ive, Miss issauga, Ontar io L4V 1M8 Canada Yokogawa-Hewlet t -Packard L td . , Sug inami-ku, Tokyo 168 Japan

PART 1 : Chrono log ica l Index January 1981 Handheld Scanner Makes Reading Bar Codes Easy and Inex

pensive, John J. Uebbing, Donald L. Lubin, and Edward G. Weaver, Jr.

Reading Bar Codes for the HP-41C Programmable Calculator, David R. ConkJin and Thomas L. Revere III

A High-Quality Low-Cost Graphics Tablet, DonaJd /. StaveJy Capacitive Stylus Design, Susan M. Cardwell Programming the Graphics Tablet, Debra S. Bartíett Tablet/Display Combination Supports Interactive Graphics, David

A. Kinsell Programming for Productivity: Factory Data Collection Software,

Steven H. Richard A Terminal Management Tool, Francois Gaullier

February 1981 A High-Purity, Fast-Switching Synthesized Signal Generator,

RoJand Hassun Digital Control for a High-Performance Programmable Signal

Generator, Hamilton C. Chisholm 8662A Power-On and Self-Test Sequences, Albert W. KovaJick Low-Noise RF Signal Generator Design, Dieter Scherer, BiJJ S.

Chan, Fred H. Ivés, William /. Crilly, Jr., and Donald W. Mathiesen

A Switching Power Supply for a Low-Noise Signal Generator, Gerald L. Ainsworth

A High-Purity Signal Generator Output Section, David L. Platt and Donald T. Borowski

Product Design for Precision and Purity, Robert L. DeVries Verifying High Spectral Purity and Level Accuracy in Production,

John W. Richardson

March 1981 New Display Station Offers Multiple Screen Windows and Dual

Data Communications Ports, Gary C. Stass Display Station's User Interface is Designed for Increased Pro

ductivity, Gordon C. Graham Hardware and Firmware Support for Four Virtual Terminals in

One Display Station, Srinivas Sukumar and John D. Wiese A Silicon-on-Sapphire Integrated Video Controller, Jean-

Claude Roy SC-Cut Quartz Oscillator Offers Improved Performance, J.

Robert Burgoon and Robert L. Wilson The SC Cut, a Brief Summary, Charles A. Adams and John A.

Kusters Flexible Circuit Packaging of a Crystal Oscillator, James H. Stein-

metz New Temperature Probe Locates Circuit Hot Spots, Marvin F.

Estes and DonaJd Zimmer, Jr.

April 1981 An Interactive Material Planning and Control System for Manu

facturing Companies, Nancy C. Federman and Robert M. Steiner A Novel Approach to Computer Application System Design and

Implementation, Loretta E. Winston Automating Application System Operation and Control, Barry D.

Kurtz Precision DVM Has Wide Dynamic Range and High Systems Speed, Lawrence T. Jones, James J. Ressmeyer, and Charles A. Clark

May 1981 A Precision High-Speed Electron Beam Lithography System, John

C. Eidson, Wayne C. Haase, and Ronald K. Scudder A Precision, High-Current, High-Speed Electron Beam Lithog

raphy Column, John Kelly, Timothy R. Groves, and Heui Pei Kuo A Precision X-Y Stage and Substrate Handling System for Electron

Beam Lithography, Earl E. Lindberg and Charles L. Merja Software Control for the HP Electron Beam Lithography System,

Bruce Hamilton Pattern Data Flow in the HP Electron Beam System, Michael J.

Cannon, Howard F. Lee, and Robert B. Lewis Calibration of the HP Electron Beam System, Faith L. BugeJy,

Ian F. Osborne, Geraint Owen, and Robert B. Schudy Digital Adaptive Matched Filter for Fiducial Mark Registration,

Tsen-gong Jim Hsu

June 1981 Viewpoints — Marco Negrete on Structured VLSI Design, VLSI Design Strategies and Tools, William J. Haydamack and

Daniel J. Griffin Advanced Symbolic Artwork Preparation (ASAP), Kyle M. Black

and P. Kent Hardage Design and Simulation of VLSI Circuits, Louis K. Scheffer, Rich

ard I. Dowel!, and Ravi M. Apte Transistor Electrical Characterization and Analysis Program,

Ebrahim Khalily An Interactive Graphics System for Structured Design of Inte

grated Circuits, Diane F. Bracken and William J. McCalla 1C Layout on a Desktop Computer, Thomas H. Baker VLSI Design and Artwork Verification, Michael G. Tucker and

William J. Haydamack University and Industrial Cooperation for VLSI, Merrill W.

Brooksby and Patricia L. Castro A Process Control Network, Christopher R. Clare Benefits of Quick-Turnaround Integrated Circuit Processing,

Merrill W. Brooksby, Patricia L. Castro and Fred L. Hanson Viewpoints — David Packard on University and Industry Coopera

tion

July 1981 Instrument System Provides Precision Measurement and Control

Capabilities, Virgil L. Laing Precision Data Acquisition Teams up with Computer Power,

Lawrence E. Heyl Data L. Is Easy with an HP-85/3054A Combination, David L.

Wolpert Versatile Instrument Makes High-Performance Transducer-

Based Measurements, James S. Epstein and Thomas J. Heger Plug-in Assemblies for a Variety of Data Acquisition/Control

Applications, Thomas J. Heger, Patricia A. Redding, and Rich ard L. Hester

Desktop Computer Redesigned for Instrument Automation, Vin cent C. Jones

A Unifying Approach to Designing for Reliability, Kenneth F. Watts

Designing Testability and Serviceability into the 9915A, David J. Sweetser

Operator Interface Design, Robert A. Gilbert Cost-Effective Industrial Packaging, Eric L. Clarke

August 1981 200-kHz Power FET Technology in New Modular Power Supplies,

Richard Myers and Robert D. P.eck Magnetic Components for High-Frequency Switching Power

Supplies, Win/ried Seipel Laboratory-Performance Autoranging Power Supplies Using

Power MOSFET Technology, Dennis W. Gyma, Paul W. Bailey,

2 8 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 29: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

John W. Hyde, and Daniel R. Schwartz The Vertical Power MOSFET for High-Speed Power Control,

Karl H. Tie/ert, Dah Wen Tsang, Robert L. Myers, and Victor Li Power Line Distrubances and Their Effect on Computer Design and

Performance, Arthur W. Duell and W. Vincent Roland

September 1981 A Reliable, Accurate CÜ2 Analyzer for Medical Use, Rodney

/. Solomon A Miniature Motor for the CCh Sensor, Edwin B. Merrick An End-Tidal/Respiration-Rate Algorithm, John /. JCrieger In-Service CCh Sensor Calibration, RusseJJ A. Porker and Rodney

/. Solomon Making Accurate CCh Measurements, John /. Krieger A Versatile Low-Frequency Impedance Analyzer with an Integral

Tracking Gain-Phase Meter, Yoh Narimatsu, Kanuyaki Yagi, and Takeo Shimizu

A Fast, Programmable Pulse Generator Output Stage, Peter Aue

October 1981 Development of a High-Performance, Low-Mass, Low-Inertia

Plotting Technology, Wayne D. Baron, Lawrence LaBarre, Charles E. Tyler, and Robert G. Younge

Plotter Servo Electronics Contained on a Single 1C, Clement C. Lo An Incremental Optical Shaft Encoder Kit with Integrated Opto

electronics, Howard C. Epstein, Mark G. Leonard, and John /. Uebbing

New Plotting Technology Leads to a New Kind of Electrocardio graph, Peter H. Dorword, Steven /. Koerper, Martin K. Mason, and Steven A. Scampini

P A R T 2 : S u b j e c t I n d e x Month/Year Subject

Apr. Aug. Apr. July Nov. Sept. Sept. May July Apr. Apr. Nov. Nov. June

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

A-to-D conversion Ac line conditioning Ac resistive attenuator Actuator plug-in Adaptive dash pattern length Airway adaptor

Model

3456A

3456A 3497A.44428A

7580A 14361A

Algorithms, end-tidal/respiration rate 47210A Algorithm, overlap removal Analog multiplexer 3497A,44421A,44422A A p p l i c a t i o n c u s t o m i z e r 3 2 2 6 0 A A p p l i c a t i o n m o n i t o r 3 2 2 6 0 A A r c - g e n e r a t e d c h a r a c t e r s 7 5 8 0 A A r c g e n e r a t o r 7 5 8 0 A Artwork verification, VLSI

Jan. 1981 Jan. 1981 May 1981

May Jan. Jan. Aug. Sept. Sept. July Nov. Sept. Oct. Dec.

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

Aug. 1981

July 1981

Aug. 1981

Aug. 1981

July 1981

Mar. 1981 Mar. 1981

B Bar-code programs Bar-code reading wand Bootstrap calibration

Calibration, electron beam Calculator, bar code input Capacitive stylus Capacitors, film Capnometer Carbon dioxide analyzer Channel scanning Character fonts COz sensor Code wheel Computer model, electron

and development Computer site wiring Control signal interface Converter, flyback Converter, sine-wave Counter CRT terminal Crystal oscillator, SC cut

HP-41C.82153A HEDS-3000.82153A

system HP-41C, 82153A

9111A/T 65000A 47210A 47210A

3497A 7580A.9872B

14360A HEDS-5000

beam exposure

9915A 60 12 A/6024 A

65000A 3497A.44426A

2626A 1081 1A/B

November 1981 Development of a Large Drafting Plotter, Marvin L. Patterson

and George W. Lynch Aspects of Microprocessor and I/O Design for a Drafting Plotter.

Lowell /. Stewart, Dale W. Schaper, Neal J. Martini, and Hatem E. Mosta/a

Motor Drive Mechanics and Control Electronics for a High-Per formance Plotter. Terry L. Flower and Myungsae Son

Firmware Determines Plotter Personality, Larry W. Hennessee, Andrea K. Frankel, Mark A. Overton, and Richard B. Smith

Y-Axis Pen Handling System, Robert D. Haselby, David /. Perach, and Samuel R. Haugh

X-Axis Micro-Grip Drive and Platen Design, Ronald /. Kaplan and Robert S. Townsend

December 1981 Surface-Acoustic-Wave Delay Lines and Transversal Filters,

Waguih S. Ishak, H. Edward Karrer, and William R. Shreve, Surface-Acoustic-Wave Resonators, Peter S. Cross and Scott

S. Elliott SAWR Fabrication, Robert C. Bray and Yen C. Chu 280-MHz Production SAWR, Marek E. Mierzwinski and Mark E.

Terrien Physical Sensors Using SAW Devices, /. Fleming Dias Proximity Effect Corrections by Means of Processing: Theory

and Applications, Paul Rissman and Michael P.C. Watts Monte Carlo Simulations for Electron Beam Exposures, Armand P.

Neukermans and Steven G. Eaton

Dec. 1981 July 1981 Apr. 1981

Crystals, bulk-wave Current D-to-A converter Customizer, application

3497A.44430A 32260A

D-to-A conver ter , current 3497A.44430A D-to-A conver ter , vol tage 3497A.44429A Data acquisition/ 3054A/C/DL.3497A/3498A

control DATACAP/1000 data capture software 92080 A D a t a c o m m u n i c a t i o n p o r t s , d u a l 2 6 2 6 A D a t a l o g g i n g 3 0 5 4 D L Decompressor, pattern data Deflector, octopole and quadrupole Delay lines, SAW Design, VLSI Detector 1C Digital input Digital output

HEDS-5000 3497A.44425A 3497A.44428A

4700A 9111A/T

2626A HPWR-6501

6012A/6024A 3456A 3497A

J a n . 1 9 8 1 F e b . 1 9 8 1 Aug. 1981 M a y 1 9 8 1 M a y 1 9 8 1 Nov. 1981 Mar. 1981

Digitally controlled plotter Digitizing, capacitive-coupling Display station DMOS process Downprogrammer, power supply DVM DVM module

E E C G 4 7 0 0 A ECL, 10k and 100k, pulse generator for 8161A E l e c t r o c a r d i o g r a p h 4 7 0 0 A Electron beam lithography, proximity effect Electron beam lithography system Encoder, shaft, optoelectronic HEDS-5000.4700A

Factory da ta co l lec t ion sof tware 92080A F a s t s w i t c h i n g s i g n a l g e n e r a t o r 8 6 6 2 A F E T , p o w e r H P W R - 6 5 0 1 Fiducial mark registration Filter, adaptive matched F i r m w a r e d e v e l o p m e n t t o o l s 7 5 8 0 A F l e x i b l e c i r c u i t p a c k a g i n g 1 0 8 1 1 A / B

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 29

© Copr. 1949-1998 Hewlett-Packard Co.

Page 30: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

S e p t . 1 9 8 1 G a i n - p h a s e m e a s u r e m e n t 4 1 9 2 A Sept . 1981 Gas measurements , s t a t i c s ta t ion 47210A Feb . 1981 Gene ra to r , s i gna l , 0 .01 -1280 MHz 8662A June 1981 Graphics for VLSI design Jan . 1981 Graph ics , in te rac t ive sys tem 9111T,1350S J a n . 1 9 8 1 G r a p h i c s t a b l e t 9 1 1 1 A / T Dec. 1981 Grating reflectors O c t . 1 9 8 1 G r i t - w h e e l p a p e r d r i v e 4 7 0 0 A N o v . 1 9 8 1 G r i t - w h e e l t e c h n o l o g y 7 5 8 0 A Aug. 1981 Grounding of computer systems J u l y 1 9 8 1 G u a r d e d m e a s u r e m e n t s 3 4 9 7 A . 4 4 4 2 1 A

H June 1981 Hierarchical design, VLSI F e b . 1 9 8 1 H i g h - p u r i t y s i g n a l g e n e r a t o r 8 6 6 2 A May 1981 High-speed electron beam system May 1981 High-speed processor (HAL)

I Dec . 1981 IDT Sept . 1981 Impedance analyzer , low-frequency 4192A S e p t . 1 9 8 1 I m p e d a n c e t e s t f i x t u r e s 1 6 0 9 5 A A u g . 1 9 8 1 I n d u c t o r , c o n t r o l 6 5 0 0 0 A A u g . 1 9 8 1 I n d u c t o r , r e s o n a t i n g 6 5 0 0 0 A J u l y 1 9 8 1 I n d u s t r i a l p a c k a g i n g 9 9 1 5 A S e p t . 1 9 8 1 I n f r a r e d a b s o r p t i o n 4 7 2 1 0 A June 1981 Integrated circuit design, VLSI June 1981 Integrated circuit process control

network (PCS) June 1981 Integrated circuit processing, rapid June 1981 Interactive graphics system (IGS) Dec. 1981 Interdigital transducer S e p t . 1 9 8 1 I n t e r f e r i n g g a s c o m p e n s a t i o n 4 7 2 1 0 A A p r . 1 9 8 1 I n v e n t o r y c o n t r o l s y s t e m 3 2 2 6 0 A N o v . 1 9 8 1 I / O b u s , d r a f t i n g p l o t t e r 7 5 8 0 A

Nov . 1981 Pen con t ro l , ve loc i ty and pos i t ion 7580A N o v . 1 9 8 1 P e n - l i f t c o n t r o l e l e c t r o n i c s 7 5 8 0 A N o v . 1 9 8 1 P e n - l i f t m e c h a n i s m 7 5 8 0 A Feb. 1981 Phase-locked loops, signal generator 8662A Feb . 1981 Phase no i se , low, s igna l genera to r 8662A N o v . 1 9 8 1 P l a t e n d e s i g n 7 5 8 0 A O c t . 1 9 8 1 P l o t t i n g , l o w - m a s s , l o w - i n e r t i a 4 7 0 0 A N o v . 1 9 8 1 P l o t t i n g , l o w - m a s s , l o w - i n e r t i a 7 5 8 0 A J u l y 1 9 8 1 P l u g - i n a s s e m b l i e s 3 4 9 7 A , 3 0 5 4 A , 3 4 9 8 A Aug. 1981 Power disturbances Aug. 1981 Power supplies , autoranging 6012A/6024A

200/1 OOOW A u g . 1 9 8 1 P o w e r s u p p l i e s , m o d u l a r , S O W 6 5 0 0 0 A Feb. 1981 Power supply, switching, signal generator 8662A M a r . 1 9 8 1 P r o b e , t e m p e r a t u r e 1 0 0 2 3 A June 1981 Process control network, integrated circuit June 1981 Processing, 1C, rapid A p r . 1 9 8 1 P r o d u c t i o n s c h e d u l i n g s y s t e m 3 2 2 6 0 A Jan . 1981 Programmable ca lcula tor , HP-41C.82153A

bar-code input Dec. 1981 Proximity effect in electron beam

lithography S e p t . 1 9 8 1 P u l m o n a r y m o n i t o r 4 7 2 1 0 A Sep t . 1981 Pu l se genera to r , 100 MHz, 1 .3 ns 8161A A p r . 1 9 8 1 P u r c h a s e o r d e r t r a c k i n g s y s t e m 3 2 2 6 0 A

Q June 1981 Quick-turnaround 1C processing

A u g . 1 9 8 1 R e c t i f i e r , S c h o t t k y 6 5 0 0 0 A Jan. 1981 Reflected-light sensor HEDS-3000,HEDS-1000 J u l y 1 9 8 1 R e a l - t i m e c l o c k , n o n v o l a t i l e 3 4 9 7 A J u l y 1 9 8 1 R e l i a b i l i t y t e s t i n g 9 9 1 5 A Dec. 1981 Resonators, SAW O c t . 1 9 8 1 R h y t h m f u n c t i o n 4 7 0 0 A A p r . 1 9 8 1 R o u t i n g s a n d w o r k c e n t e r s 3 2 2 6 0 A

Aug . 1981 Labora to ry power supp l i e s 6012A/6024A May 1981 Lithography, electron beam June 1981 Logic simulation, VLSI F e b . 1 9 8 1 L o w - n o i s e s i g n a l g e n e r a t o r 8 6 6 2 A

M A u g . 1 9 8 1 M a g n e t i c c o m p o n e n t s , 2 0 0 k H z 6 5 0 0 0 A A p r . 1 9 8 1 M a t e r i a l s m a n a g e m e n t s y s t e m 3 2 2 6 0 A May 1981 Memory, pattern N o v . 1 9 8 1 M i c r o - g r i p d r i v e 7 5 8 0 A Dec. 1981 Model, electron beam exposure and

development J u l y 1 9 8 1 M o d u l a r c o m p u t e r 9 9 1 5 A A p r . 1 9 8 1 M o n i t o r , a p p l i c a t i o n 3 2 2 6 0 A A u g . 1 9 8 1 M O S F E T f a b r i c a t i o n H P W R - 6 5 0 1 A u g . 1 9 8 1 M O S F E T , p o w e r H P W R - 6 5 0 1 S e p t . 1 9 8 1 M o t o r , f i l t e r w h e e l , m i n i a t u r e 4 7 2 1 0 A Dec. 1981 Multilayer resist techniques A p r . 1 9 8 1 M u l t i - S l o p e I I 3 4 5 6 A

N

Aug. Apr. July Oct. Mar.

1 9 8 1 O E M p o w e r s u p p l i e s 6 5 0 0 0 A 1981 Ohms measurement, wide dynamic range 3456A 1 9 8 1 O p e r a t o r i n t e r f a c e c a r d 9 9 1 5 A 1 9 8 1 O p t o e l e c t r o n i c m o d u l e s H E D S - 5 0 0 0 1 9 8 1 O s c i l l a t o r , c r y s t a l , S C c u t 1 0 8 1 1 A / B

SAW fabrication Scattering, electron, in resist S C c u t c r y s t a l o s c i l l a t o r 1 0 8 1 1 A / B Schematic input, VLSI Schot tky TTL, pulse generator for 8161A S e l f - t e s t c a p a b i l i t y 9 9 1 5 A Sensors, SAW Servomechanism, dra f t ing p lo t te r 7580A Servomechanism 1C S h e a r i n g w e d g e 8 6 6 2 A S igna l gene ra to r 0 .01 -1280 MHz 8662A Simulation of VLSI circuits Simulation of electron beam exposures S i n e - w a v e p o w e r c o n v e r s i o n 6 5 0 0 0 A Small-beam approach in electron beam

lithography S m a r t p e n m o d u l e 7 5 8 0 A S p e c t r a l p u r i t y 8 6 6 2 A S t a i r c a s e g e n e r a t o r 8 1 6 1 A S t a n d a r d p r o d u c t c o s t s y s t e m 3 2 2 6 0 A Strain gauge/bridge plug-in 3497A.44427A/B Stress compensated crystal cut Structured design, VLSI Surface-acoustic-wave devices S w e e t h e a r t t e c h n o l o g y 4 7 0 0 A S w e e t h e a r t t e c h n o l o g y 7 5 8 0 A S w i t c h i n g r e g u l a t e d p o w e r 6 5 0 0 0 A

supplies, 200 kHz Symbolic artwork preparation, VLSI S y n t h e s i z e d s i g n a l g e n e r a t o r 8 6 6 2 A

0.1-1280 MHz

Oct. 1981 Paper drive, plotter Nov. 1981 Paper drive, plotter Apr. 1981 Parts and bills of material Nov. 1981 Pen capping and selection Nov. 1981 Pen carousel Nov. 1981 Pen carriage design

4700A 7580A

32260A 7580A 7580A 7580A

Mar. 1981 Dec. 1981 Mar. 1981 Mar. 1981 Jan. 1981 July 1981

Temperature probe Temperature, turnover Temperature, turnover Terminal, computer, CRT Terminal management tool Thermocouple compensatir lon

10023A

2626A 92080A

3497A.44422A

3 0 H E W L E T T - P A C K A R D J O U R N A L D E C E M B E R 1 9 8 1

© Copr. 1949-1998 Hewlett-Packard Co.

Page 31: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

T r a n s d u c e r c u r v e f i t t i n g 3 0 5 4 A T r a n s d u c e r m e a s u r e m e n t s 3 4 9 7 A . 4 4 4 2 1 A T r a n s f o r m e r , p o w e r 6 5 0 0 0 A Transducer, force Transducer, pressure Transducer. SAW Transients, ac power line T r a n s i t i o n t i m e , v a r i a b l e 8 1 6 1 A Transistor characterization program T r e e s w i t c h 3 4 9 7 A , 4 4 4 2 1 A Two-out-of-five bar code

U University research, industry support U s e r i n t e r f a c e d e s i g n 2 6 2 6 A

Vector-scan graphics Vector ratio detector

9111T,1350S 4192A

V e r t i c a l M O S F E T H P W R - 6 5 0 1 Very-large-scale integrated circuit design Video control chip (VCC) Video interface Virtual terminals VLSI design Voltage D-to-A converter Voltage regulation, sine-wave

W Wand, bar-code reading Windows, CRT terminal Work order control system Workspaces, CRT terminal

2626A 9915A 2626A

3497A.44429A 65000A

Nov. 1981 Y-axis arm

HEDS-3000.82153A 2626A

32260A 2626A

7580A Verification, design and artwork, VLSI

P A R T S : M o d e l N u m b e r I n d e x M o d e l P r o d u c t

H P - 4 1 C C a l c u l a t o r H P - 8 5 A P e r s o n a l C o m p u t e r H P 1 0 0 0 C o m p u t e r HEDS-1000 High-Resolution Reflectance

Sensor 1 3 5 0 S D i s p l a y S y s t e m 2 6 2 6 A D i s p l a y S t a t i o n HEDS-3000 Dig i ta l Bar Code Wand 3 0 5 4 A A u t o m a t i c D a t a A c q u i s i t i o n /

Control System 3 0 5 4 C D a t a A c q u i s i t i o n / C o n t r o l

System 3 0 5 4 D L A u t o m a t i c D a t a L o g g i n g

System 3 4 3 7 A S y s t e m V o l t m e t e r 3 4 5 6 A D i g i t a l V o l t m e t e r

3 4 9 7 A D a t a A c q u i s i t i o n / C o n t r o l Unit

3 4 9 8 A E x t e n d e r 4 1 9 2 A L F I m p e d a n c e A n a l y z e r 4 7 0 0 A P a g e W r i t e r C a r d i o g r a p h H E D S - 5 0 0 0 E n c o d e r K i t 6 0 1 2 A P o w e r S u p p l y 6 0 2 4 A P o w e r S u p p l y H P W R - 6 5 0 1 P o w e r M O S F E T 7 5 8 0 A D r a f t i n g P l o t t e r 8 1 6 1 A P u l s e G e n e r a t o r

Month/Year 8662A Synthesized Signal Generator

Graphics Tablet Graphics Plotter Modular Computer Temperature Probe Crystal Oscillator CO2 Sensor Airway Adaptor Probe Test Fixture Test Fixtures Materials Management/3000 20-Channel Guarded

Acquisition 20-Channel Thermocouple

Acquisition 16-Channel Isolated Digital

Input 100-kHz Reciprocal Counter 10-Channel Strain Gauge/

Bridge Assembly 16-Channel Actuator Output Dual-Output 0-±10V

D-to-A Converter Dual-Output 0-20 mA or

4-20 mA D-to-A Converter Capnometer Power Supplies Wand DATACAP/1000

F e b . 1 9 8 1

PART 4 : Author Index Feb. 1981

Dec. 1981

Oct. 1981 June 1981

Aug. 1981

Dec. 1981 May 1981

Dec. 1981 Oct. 1981

July 1981 Mar. 1981

DECEMBER 1981 HEWLETT-PACKARD JOURNAL 31

© Copr. 1949-1998 Hewlett-Packard Co.

Page 32: DECEMBER T9S1 HEWLET D JOURNAL Journal/1981-12... · 2012-05-08 · find fiducial marks on the wafer surface, the thick absorber layer could obscure the fiducial signal. Experiments,

Federman, Nancy C. Flower, Terry L. Frankel, Andrea K.

G Gaullier, Francois Gilbert, Robert A. Graham, Gordon C. Griffin, Daniel J. Groves, Timothy R. Gyma, Dennis W.

H Haase, Wayne C. Hamilton, Bruce Hanson, Fred L. Hardage, P. Kent Haselby, Robert D. Hassun, Roland Haugh, Samuel R. Haydamack, William J. Heger, Thomas J. Hennessee, Larry W. Hester, Richard L. Heyl, Lawrence E. Hsu, Tsen-gong Jim Hyde, John W.

I Ishak, Waguih S. Ivés, Fred H.

Jones, Lawrence T. Jones, Vincent C.

Kaplan, Ronald J. Karrer, H. Edward Kelly, John Khalily, Ebrahim Kinsell, David A. Koerper, Steven J. Kovalick, Albert W. Krieger, John J. Kuo, Huei Pei Kurtz, Barry D. Kusters, John A.

LaBarre, Lawrence Laing, Virgil L.

K

May Oct. May Aug. May Oct. Jan. Nov.

Nov. Oct. Feb. June May Sept. Dec. Nov. Aug. Aug.

July Apr. Jan. Jan. Feb. Dec. Aug. Mar.

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

Sept. 1981

June 1981

Dec. 1981

May 1981

Nov. 1981

May 1981

June 1981

Sept. 1981

Nov. 1981

Aug. 1981

Nov. 1981

Feb. 1981

1981

1981

1981

1981

1981

1981

1981

1981

Schaper, Dale W. Scheffer, Louis K. Scherer, Dieter Schudy, Robert B. Schwartz, Daniel R. Scudder, Ronald K. Seipel, Winfried Shimizu, Takeo Shreve, William R. Smith, Richard B. Solomon, Rodney J. Son, Myungsae Staas, Gary C. Stavely, Donald J. Steiner, Robert M. Steinmetz, James H. Stewart, Lowell J. Sukumar, Srinivas Sweetser, David J.

Terrien, Mark E. Tiefert, Karl H. Tsang, Dah Wen Townsend, Robert S. Tucker, Michael G. Tyler, Charles E.

U Uebbing, John J.

Ura, Frank

W Watts, Kenneth F. Watts, Michael P.C. Weaver, Edward G., Jr. Wiese, John D. Wilson, Robert L. Winston, Loretta E. Wolpert, David L.

Yagi, Kanuyaki Younge, Robert G.

Zimmer, Donald, Jr.

Nov. June Feb. May Aug. May Aug. Sept. Dec. Nov. Sept. Nov. Mar. Jan. Apr. Mar. Nov. Mar. July

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

1981

Dec. 1981

Aug. 1981

Aug. 1981

Nov. 1981

June 1981

Oct. 1981

Jan. 1981

Oct. 1981

May 1981

July 1981

Dec. 1981

Jan. 1981

Mar. 1981

Mar. 1981

Apr. 1981

July 1981

Sept. 1981

Oct. 1981

Mar. 1981

Oct. 1981

H e w l e t t - P a c k a r d C o m p a n y , 3 0 0 0 H a n o v e r Street , Palo Al to, Cal i forn ia 94304

D E C E M B E R 1 9 8 1 V o l u m e 3 2 . N u m b e r 1 2 T e c h n i c a l I n f o r m a t i o n f r o m t h e L a b o r a t o r i e s o f

H e w l e t t - P a c k a r d C o m p a n y Hewle t t -Packard Company. 3000 Hanover S t ree

Pato Al to, Cal i forn ia 94304 U.S.A. Hewlet t -Packard Centra l Mai l ing Depar tment

Van Heuven Goedhar t laan 121 1181 KK Amste lveen. The Nether lands

Yokogawa-Hevv le t t -Packard L td . . Sug inami-Ku Tokyo 1 f Hewlet t -Packard (Canada) L td.

6877 Goreway Dr ive , Miss issauga, Ontar io L4V 1M8 (

C H A N G E O F A D D R E S S :

Bulk Rate U.S. Postage

Paid Hewlett-Packard

Company

0400094035£e£HANSGQMOO MR Q M HANSEN NASA AMES RESEARCH CENTER FPD DIV CODE 5LDG N 244-7 MQFFFTT FIELD CA 94035

To change Send address or de lete your name f rom our mai l ing l is t p lease send us your o ld address label . Send c h a n g e s A l l o w d a y s . J o u r n a l , 3 0 0 0 H a n o v e r S t r e e t , P a l o A l t o , C a l i f o r n i a 9 4 3 0 4 U . S . A . A l l o w 6 0 d a y s .

© Copr. 1949-1998 Hewlett-Packard Co.


Recommended