+ All Categories
Home > Documents > EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg =...

EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg =...

Date post: 11-Jun-2020
Category:
Upload: others
View: 2 times
Download: 0 times
Share this document with a friend
19
1 EE241 - Spring 2012 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies 2 Announcements Sign up for Piazza
Transcript
Page 1: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

1

EE241 - Spring 2012Advanced Digital Integrated Circuits

Lecture 2: Scaling Trends and Features of Modern Technologies

2

Announcements

Sign up for Piazza

Page 2: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

2

3

Outline

Scaling issues

Technology scaling trends

Features of modern technologiesLithography

Process technologies

4

IC Design: Major Roadblocks

1. Managing complexityHow to design a 10 billion (100 billion) transistor chip?And what to use all these transistors for?

2. Cost of integrated circuits is increasingIt takes >>$10M to design a chipMask costs are many $M in 28nm technology

3. The end of frequency scaling - Power as a limiting factor

Dealing with power, leakages

4. Robustness issuesVariations, SRAM, memory, soft errors, signal integrity

5. The interconnect problem

Page 3: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

3

55

Transistor Counts

Doubles every 2 years

6

0.1

1

10

100

1000

10000

1970 1975 1980 1985 1990 1995 2000 2005 2010

Fre

qu

ency

[MH

z]

Frequency Trends in Intel's Microprocessors

4004

8008

8080

8086

8088

80286

386DX

486DX486DX4

Pentium

Pentium Pro Pentium II

Pentium MMX

Pentium III

Pentium 4

ItaniumItanium II

Core2

i7

6

Frequency

Has been doublingevery 2 years

Nearly flat

Page 4: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

4

7

0.1

1

10

100

1000

1970 1975 1980 1985 1990 1995 2000 2005 2010

Po

wer

[W

]

Power Trends in Intel's Microprocessors

4004

80088080

8086

8088

80286

386DX

486DX

Pentium

Pentium Pro

Pentium II

Pentium III Pentium 4

ItaniumItanium II Core 2

i7

7

Power Dissipation

Has been > doublingevery 2 years

Has to stay ~constant

Scaling Issues

Page 5: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

5

9

p substrate, doping *NA

L/xd/

GATE

n+ source

n+ drain

WIRINGVoltage, V /

W/tox/

CMOS Scaling Rules

SCALING:Voltage: V/Oxide: tox /Wire width: W/Gate width: L/Diffusion: xd /Substrate: * NA

RESULTS:Higher Density:

~2

Higher Speed: ~Power/ckt: ~1/2

Power Density:~Constant

R. H. Dennard et al., IEEE J. Solid State Circuits, (1974).

11Å

10

CMOS Scaling

Two 30nm transistors (then and now)

Page 6: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

6

11

Transistor ScalingShrink by 30%

32nm transistor“Contacted poly pitch”Shrink by 30%

28nmF. Arnaud, IEDM’08

12

0.1

1

10

100

1000

10000

10 100 1000Lg [nm]

V DD

I DSAT

T inv

V Th

Ideal I DSAT

Ideal V DD

Ideal T inv

Ideal V Th

Ideal vs. Real Scaling

Leakage slows down VTh, VDD scaling

[µA/µm]

[x10V]

[ps]

[V]

Page 7: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

7

13

Technology Flavors

LP keeps drain leakage constant

14

Technology Flavors

Page 8: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

8

15

Lg, R, C scaling

With scaling L, need to scale up doping - scale junction depth (control leakage) – S/D resistance goes up

External resistance limits current

m

10000

1000

100

10

10

1

0.1

0.01

nm130nm

90nm

70nm

50nm

Gate Length65nm

35nm

1970 1980 1990 2000 2010 2020

45nm

32nm

22nm

~30nm

0.7X every 2 years

Nominal feature size

180nm

250nm

/D DS channel extI V R R

16

Parasitic Capacitance Scaling

S. Thompson, Materials Today, 2006.

Reality: Overlap + fringe can be 50% of Cchannel in 32nm

Page 9: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

9

45nm/32nm Technology Features

18

Technology Features

Lithography implications (this lecture)Restrictions on design

Implications on design variability

FEOL features (next lecture)

Page 10: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

10

19

EE 141 Technology vs. 32/28nmFEOL

0.25m featuresLg ~ 240nm248nm lithographyNo OPC, liberal design rulesSiO2 oxide, 3.5nm106 dopant atomsLOCOSNobody knew what ‘strain’ isVelocity saturatedNo SD leakageNo gate leakageOne transistor flavor

BEOLAl interconnectSiO2 ILD4-5 M layersNo CMP, no density rules

FEOL32nm technologyLg = 30-35nm193nm immersion lithographyOPC, restricted design rulesHf-based dielectric~102 dopant atomsSTIStrained silicon in channelVelocity saturatedIDS,off ~ 100nA/µmLow gate leakageMany transistor flavors

BEOLCu interconnectLo-k ILD8-11 M layersCMP, density rules

20

Step-and-Scan Lithography

Page 11: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

11

21

Lithography Scaling

m

10000

1000

100

10

10

1

0.1

0.01

nm

130nm90nm

65nm

1970 1980 1990 2000 2010 2020

45nm32nm

22nm

Nominal feature size scaling

180nm250nm

365nm248nm

193nm

EUV 13nm

EUV – Technology of the future (forever)?

22

Sub-Wavelength Lithography

Light projected through a gap

Mask

193nm light

Lightintensity

Lightintensity

Page 12: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

12

23

Sub-Wavelength Lithography

Decrease Presently: 193 nm (ArF excimer laser)(Distant?) future: EUV

Increase NA = nsinαMaximum n is 1 in airPresently: ~0.92-1.35Immersion

Result: Shrinking k1Presently: 0.35 – 0.4Theoretical limit: 0.25

1CD k

NA

min 1

1930.25 50

0.92nm

CD k nmNA

45nm technology beyond resolution limit

24

Litho: How to Enhance Resolution?

Immersion

Off-axis illumination

Optical proximity correction

Phase-shifting masks

Double patterning

Page 13: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

13

25

Litho: Immersion

Project through a drop of liquid

nwater = 1.47

IBM

min 1

1930.25 35

1.35nm

CD k nmNA

26

Litho: Illumination

Amplifies certain pitches/rotations at expense of others

Regular Illumination

Many off-axis designs (OAI)

Annular

Quadrupole / Quasar

Dipole +

or

A.Kahng, ICCAD’03

Page 14: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

14

27

Litho: Resolution Enhancement

J.Hartmann, ISSCC’07

28

OPCOptical Proximity Correction (OPC) modifies layout to compensate for process distortions

Add non-electrical structures to layout to control diffraction of light

Rule-based (past) or model-based

A.Kahng, ICCAD’03

Design Mask

OPC Fracture

Page 15: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

15

29

Restricted Design Rules

J.Hartmann, ISSCC’07

Also: note poly density rules

30

Litho: Phase-Shift Masks

Phase Shifting Masks (PSM)Creates interference fringes on the wafer Interference effects boost contrast Phase Masks can make extremely small lines

conventional maskglass Chrome

Electric field at mask

Intensity at wafer

phase shifting mask

Phase shifter

A.Kahng, ICCAD’03

Page 16: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

16

31

Litho: Current Options (22nm)

Immersion lithographyUse high index (NA ~ 1.6-1.7, k1 < 0.3)

Double patterningNA ~ 1.2-1.35

EUV lithography (?) = 13.5nm

32

Litho: Double Patterning

Double exposure double etchDouble exposure double etch

Pitch split

Double exposure single etchDipole decomposition (DDL)

Pack-unpack for contact

Resist freeze technology

Sidewall image transfer (SIT)

From Colburn, VLSI Technology 2008 Workshop

Page 17: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

17

33

Double-Exposure Double-EtchStarting layout Line + cut split Cut over line

Result:SRAM image from K. Mistry, IEDM’07

34

Pitch Split Double ExposureStarting layout Split pattern Overlay

With overlay misalignment

Page 18: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

18

35

32nm Examples

Single exposure Double exposure

IEDM’08

36

Litho: Design Implications

Forbidden directionsDepends on illumination type

Poly lines in other directions can exist but need to be thicker

Forbidden pitchesNulls in the interference pattern

Forbidden shapes in PSM

Assist featuresIf a transistor doesn’t have a neighbor, let’s add a dummy

Page 19: EE241 - Spring 2012bwrcs.eecs.berkeley.edu/.../Lecture2-Technology.pdf · 32nm technology Lg = 30-35nm 193nm immersion lithography OPC, restricted design rules Hf-based dielectric

19

37

Next Lecture

Technology features

Transistor models


Recommended