+ All Categories
Home > Documents > Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Date post: 14-Feb-2017
Category:
Upload: truongnhan
View: 233 times
Download: 2 times
Share this document with a friend
12
Hardware/Software Co-design for Energy-Efficient Seismic Modeling Jens Krueger *† , David Donofrio * , John Shalf * , Marghoob Mohiyuddin , Samuel Williams * , Leonid Oliker * , Franz-Josef Pfreundt * Computational Research Division, Lawrence Berkeley National Laboratory, Berkeley, CA, USA § Computer Science Division, University of California at Berkeley, Berkeley, CA, USA Fraunhofer ITWM, Kaiserslautern, Germany {jtkrueger, ddonofrio, jshalf, mmohiyuddin, swwilliams, loliker}@lbl.gov, [email protected] ABSTRACT Reverse Time Migration (RTM) has become the standard for high-quality imaging in the seismic industry. RTM re- lies on PDE solutions using stencils that are 8 t h order or larger, which require large-scale HPC clusters to meet the computational demands. However, the rising power con- sumption of conventional cluster technology has prompted investigation of architectural alternatives that offer higher computational efficiency. In this work, we compare the per- formance and energy efficiency of three architectural alter- natives – the Intel Nehalem X5530 multicore processor, the NVIDIA Tesla C2050 GPU, and a general-purpose manycore chip design optimized for high-order wave equations called “Green Wave.” We have developed an FPGA-accelerated architectural simulation platform to accurately model the power and performance of the Green Wave design. Results show that across highly-tuned high-order RTM stencils, the Green Wave implementation can offer up to 8× and 3.5× energy efficiency improvement per node respectively, com- pared with the Nehalem and GPU platforms. These results point to the enormous potential energy advantages of our hardware/software co-design methodology. Keywords seismic, RTM, stencil, GPU, co-design, manycore 1. INTRODUCTION In recent years Reverse Time Migration (RTM)[5] has be- come the high quality standard for seismic imaging for the oil and gas exploration industry. Although the RTM method has been well established for many years, its application has been limited due to the high computational requirements of this method, which require large-scale HPC systems operat- ing for months at a time on a single problem. In the past, the capital expense of acquiring the HPC platform domi- nated total cost of ownership (TCO), but is rapidly being Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. SC11, November 12-18, 2011, Seattle, Washington, USA Copyright 2011 ACM 978-1-4503-0771-0/11/11 ...$10.00. outstripped by the operational expenses of power and cool- ing for these systems [22]. As power becomes a primary cost in high-end computing, any effective large-scale solution for next-generation RTM simulations must deliver performance in an energy-efficient manner. The free-lunch of getting per- formance improvements from ever-increasing clock frequen- cies is over [2] and more radical approaches to improving the energy efficiency of computer architectures are going to be required to avert a power crisis or catastrophic stall in computing performance [14]. The quest for more energy- efficient approaches has spawned a revolution in multicore technology and renewed interest in alternative architectures such as GPUs (graphics processing units), FPGAs (field- programmable gate arrays) and other novel solutions with a complex tradeoffs between performance, programmability, and energy-efficiency. In this work we examine the perfor- mance and power requirements of the high-order wave equa- tion stencils found at the heart of RTM wavefield modeling on modern Nehalem X5530 CPUs and NVIDIA Fermi C2050 GPUs, and an energy-efficient manycore solution based on low-power embedded cores called Green Wave. The Green Wave design is built upon energy-efficient em- bedded processor cores [41], and uses a hardware/software co-design methodology to maximize RTM-based stencil per- formance and efficiency. The design remains fully program- mable and general purpose, but uses the co-design process to optimize energy efficiency for the target workload. This is different from a fixed-function logic design that cannot de- part from its designed purpose. To facilitate the co-design process, we develop a rapid design prototyping framework named CoDEx [37] that uses the standard toolchain to rapidly synthesize gate-level RTL implementations of the target node design and accurately predict the performance and power characteristics of this chip design for the RTM application using validated cycle-accurate logic and DRAM simulators. Overall, compared to highly optimized Nehalem and Fermi implementations, Green Wave demonstrates up to an order of magnitude improvement in energy efficiency — highlight- ing the potential of a semi-custom co-design approach as a path towards designing high-performance, energy-efficient systems while maintaining full programmability. This work makes several contributions. First, it is one of the few studies to present a direct comparisons between a highly optimized CPU and GPU implementations of RTM kernel calculations. We also introduce a manycore-based chip architecture and system design that uses commodity off-the-shelf IP (intellectual property) components from the
Transcript
Page 1: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Hardware/Software Co-design forEnergy-Efficient Seismic Modeling

Jens Krueger∗†, David Donofrio∗, John Shalf∗, Marghoob Mohiyuddin∗§,Samuel Williams∗, Leonid Oliker∗, Franz-Josef Pfreundt†

∗Computational Research Division, Lawrence Berkeley National Laboratory, Berkeley, CA, USA§Computer Science Division, University of California at Berkeley, Berkeley, CA, USA

†Fraunhofer ITWM, Kaiserslautern, Germany{jtkrueger, ddonofrio, jshalf, mmohiyuddin, swwilliams, loliker}@lbl.gov, [email protected]

ABSTRACTReverse Time Migration (RTM) has become the standardfor high-quality imaging in the seismic industry. RTM re-lies on PDE solutions using stencils that are 8th order orlarger, which require large-scale HPC clusters to meet thecomputational demands. However, the rising power con-sumption of conventional cluster technology has promptedinvestigation of architectural alternatives that offer highercomputational efficiency. In this work, we compare the per-formance and energy efficiency of three architectural alter-natives – the Intel Nehalem X5530 multicore processor, theNVIDIA Tesla C2050 GPU, and a general-purpose manycorechip design optimized for high-order wave equations called“Green Wave.” We have developed an FPGA-acceleratedarchitectural simulation platform to accurately model thepower and performance of the Green Wave design. Resultsshow that across highly-tuned high-order RTM stencils, theGreen Wave implementation can offer up to 8× and 3.5×energy efficiency improvement per node respectively, com-pared with the Nehalem and GPU platforms. These resultspoint to the enormous potential energy advantages of ourhardware/software co-design methodology.

Keywordsseismic, RTM, stencil, GPU, co-design, manycore

1. INTRODUCTIONIn recent years Reverse Time Migration (RTM)[5] has be-

come the high quality standard for seismic imaging for theoil and gas exploration industry. Although the RTM methodhas been well established for many years, its application hasbeen limited due to the high computational requirements ofthis method, which require large-scale HPC systems operat-ing for months at a time on a single problem. In the past,the capital expense of acquiring the HPC platform domi-nated total cost of ownership (TCO), but is rapidly being

Permission to make digital or hard copies of all or part of this work forpersonal or classroom use is granted without fee provided that copies arenot made or distributed for profit or commercial advantage and that copiesbear this notice and the full citation on the first page. To copy otherwise, torepublish, to post on servers or to redistribute to lists, requires prior specificpermission and/or a fee.SC11, November 12-18, 2011, Seattle, Washington, USACopyright 2011 ACM 978-1-4503-0771-0/11/11 ...$10.00.

outstripped by the operational expenses of power and cool-ing for these systems [22]. As power becomes a primary costin high-end computing, any effective large-scale solution fornext-generation RTM simulations must deliver performancein an energy-efficient manner. The free-lunch of getting per-formance improvements from ever-increasing clock frequen-cies is over [2] and more radical approaches to improvingthe energy efficiency of computer architectures are going tobe required to avert a power crisis or catastrophic stall incomputing performance [14]. The quest for more energy-efficient approaches has spawned a revolution in multicoretechnology and renewed interest in alternative architecturessuch as GPUs (graphics processing units), FPGAs (field-programmable gate arrays) and other novel solutions witha complex tradeoffs between performance, programmability,and energy-efficiency. In this work we examine the perfor-mance and power requirements of the high-order wave equa-tion stencils found at the heart of RTM wavefield modelingon modern Nehalem X5530 CPUs and NVIDIA Fermi C2050GPUs, and an energy-efficient manycore solution based onlow-power embedded cores called Green Wave.

The Green Wave design is built upon energy-efficient em-bedded processor cores [41], and uses a hardware/softwareco-design methodology to maximize RTM-based stencil per-formance and efficiency. The design remains fully program-mable and general purpose, but uses the co-design processto optimize energy efficiency for the target workload. Thisis different from a fixed-function logic design that cannot de-part from its designed purpose. To facilitate the co-designprocess, we develop a rapid design prototyping frameworknamed CoDEx [37] that uses the standard toolchain to rapidlysynthesize gate-level RTL implementations of the target nodedesign and accurately predict the performance and powercharacteristics of this chip design for the RTM applicationusing validated cycle-accurate logic and DRAM simulators.Overall, compared to highly optimized Nehalem and Fermiimplementations, Green Wave demonstrates up to an orderof magnitude improvement in energy efficiency — highlight-ing the potential of a semi-custom co-design approach asa path towards designing high-performance, energy-efficientsystems while maintaining full programmability.

This work makes several contributions. First, it is one ofthe few studies to present a direct comparisons between ahighly optimized CPU and GPU implementations of RTMkernel calculations. We also introduce a manycore-basedchip architecture and system design that uses commodityoff-the-shelf IP (intellectual property) components from the

Page 2: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

+y

+x

+z

(a) (b) (c) (d)

Figure 1: RTM Problem Decomposition and Stencils (a) generic decomposition, (b) streaming planes, (c)multibuffering, (d) wave equation stencil in which black represents the Laplacian Stencil and gray representsthe time derivative.

embedded space thereby reducing the cost and risk of pro-ducing such an ASIC (application-specific integrated cir-cuit). Additionally, we demonstrate how a hardware/softwareco-design process that uses cycle-accurate FPGA hardwareemulation can iteratively optimize the design for RTM-stencilcalculation requirements. Finally, we demonstrate that aco-design process can create a fully-programmable general-purpose design, while offering an order of magnitude betterenergy efficiency than conventional approaches for the targetapplication. This design process holds promise as a novelapproach for creating computationally efficient systems totackle a wide variety of demanding numerical problems, andreduces the cost and schedule risks of designing a full-custommachine architecture. Overall, our system design enablesthe seismic industry to take the next step in large-scale highquality survey processing and leads the way towards inter-active seismic modeling on mobile platforms.

2. OVERVIEW AND RELATED WORKIn numerous seismological applications it is necessary to

create a subsurface reflectivity image to perform variousanalyses of the Earth’s interior including crust developmentand exploration for hydrocarbons or minerals. This pro-cess entails collecting seismic data of the area via an en-ergy source, such as explosion, that generates acoustic waveswhich are reflected by impedance contrasts of rock layers.Each of these “shots” has an array of receivers that lis-ten to reflection for several seconds, followed by the shotmovement in equidistant offsets until the area of interest iscovered. To effectively translate this process into a qual-ity image, a sophisticated seismic processing workflow hasbeen developed involving several iterative steps includingdata acquisition and preprocessing, velocity modeling, wave-field migration and imaging [47]. In this work we employa hardware/software co-design process focused on reducingthe overhead of the seismic wavefield migration phase —the most computational-intensive component of this work-flow methodology. This phase is used to correct misposi-tioned reflectors by cross-correlating the source and receiverwavefield to the desired subsurface image.

2.1 Reverse Time MigrationThe RTM algorithm is composed of three main steps. In

the first, the source wavefield is propagated forward in timestarting from a synthetic simulated source signal at timezero. Next, the receiver wavefield is computed by propagat-ing the receiver data backwards in time. Finally, the imaging

condition cross-correlates the source and receiver wavefieldto create the final subsurface image. Our study focuses onthe wavefield propagation kernel in the first two steps andrequires most of the overall RTM computation time. Specifi-cally, the kernel consumes more than 90% of execution timeduring the forward propagation step based on benchmarktimings of current optimized RTM implementations [1].

Recent advances in computer architecture have allowedthis numerically intensive technique to be utilized in pro-duction seismic computing. However, its large computa-tional demands result in extremely slow processing or lim-ited, low-resolution seismic analysis on large-scale systems.It is therefore critical to improve the performance and energyefficiency of these techniques for next generation processing.

The simulation of the wavefield propagation is performedmost commonly with an approximation of the wave equationrepresented, where c is the velocity function, u is the pres-

sure function at point (x, y, z) ∈ R3:(

∆− 1c2

∂2

∂t2

)u = 0.

The approximation to this equation can be derived us-ing either implicit or explicit techniques. The former leadsto large linear systems that can leverage large-scale paral-lel solvers such as PETSc [3] but can suffer from scalabilitylimitations at high concurrency. The explicit approach, ex-amined here, computes the next timestep of the wavefieldpropagation via a “stencil” update for each point using fixedtimesteps. Note that 32-bit values are standard across theseismic industry, provide sufficient accuracy to receive highquality imaging results, and are used throughout our study.Even lower bit widths have been considered to further in-crease performance of FPGA-based solutions [16].

2.2 Higher Order StencilsStencil computations are used in a broad range of sci-

entific applications involving finite-differencing methods tosolve partial differential equations (PDEs) on regular grids.At each point, a nearest-neighbor computation (stencil) isperformed, where the value is updated with weighted con-tributions from a subset of points neighboring in time andspace. The stencil “order” is a theoretical bound on the rateat which error decreases relative to increased resolution ofpressure field derivation approximation. Here, we also referto order as the most distant stencil calculations point. Thus,high-order stencils typically generate large working sets.

Figure 1 shows an example of an RTM decompositionand stencil computational structure. Figure 1(a) depictsthe large grid associated with each process. Figure 1(b) and

Page 3: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Wave Equation 8th 12th

Points in Laplacian component 25 37Points in time derivative component 2 2

Velocity component 1 1Total points accessed per

wave equation stencil27 39

Floating-point operations (flops) 26 add, 38 add,per wave equation stencil 7 mul 9 mul

Compulsory DRAM Bytes per Stencil 16.2 16.3

Typical Bytes per stencil(5123 with 256 KB local store)

17.6 18.3

Grid Volume (5123) 2.1 GB 2.1 GBGhost Zone Volume (5123) 24.4 MB 36.9 MB

Table 1: Characteristics of isotropic 8th and 12th

order wave equations. Both the Laplacian and timederivatives share a common point, reducing the totalnumber of points per wave equation stencil by one.

(c) visualize optimization techniques detailed in Section 5.As discussed, the wave equation is comprised of a Lapla-cian stencil and a time derivative. Figure 1(d) visualizes a8th-order Laplacian stencil (in black) and a 2nd-order timederivative (in gray). The Laplacian component requires ac-cessing 25 points and performing a linear combination using5 weights (one for each of the four equidistant sextupletsof grid points and one for the center). Thus, the Laplacianperforms 5 floating-point multiplies and 24 floating-pointadditions. The wave equation’s time derivative requires ac-cessing not only the spatial grid point at the current andprevious time steps, but also the medium’s velocity at thatpoint. When the Laplacian and time derivative are com-bined, we see the complexity of the inhomogeneous isotropicwave equation’s stencil. Higher order Laplacian stencils (e.g.12th-order) will access neighboring points further from thecenter and induce a corresponding increase in computation.

Table 1 details the computational and bandwidth char-acteristics of the two wave equation implementations, 8th

and 12th order. A 5123 problem size per node was selectedfor the cross-comparison between architectures because itpresents the best performance on the CPU and GPU and itfully occupies the GPU on-board memory. In this paper wefocus on the forward propagating component of RTM, whichprovides an accurate characterization of the computationalrequirements of the seismic processing application [1].

2.3 Survey Analysis Resource RequirementsThe computational resource decisions of seismic survey

analysis are based on today’s largest 3D marine seismic stud-ies combined with fine grained resolutions, which result inan upper boundary for migration parameters that have to behandled by the system. The enormous financial expenses ofdata collection alone makes it mandatory to cover as mucharea as possible with a single survey. Additionally, new nu-merical methods offer advances in image fidelity at the costof increased computational overhead. Thus, there is strongmotivation to accelerate processing rates and image qual-ity without increasing power costs, lest the increased energyconsumption negate the potential cost savings.

Consider a modern survey size of 30km in streamline (x),20km in crossline (y) and 10km in depth (z). To conduct thisanalysis, an exploration ship tows 10 streamer lanes with1000 receivers (e.g. microphones) each. All receivers have atime sampling interval of 1ms and listen a total of 12 seconds

to reflections from the subsurface. Thus, 12,000 timestepshave to be processed in order to receive the wavefield for thenext timestep. With shot offsets of 50m × 100m, 120,000shots are necessary to cover the survey area. The calcula-tion is proceeded on a space grid of 5m in all dimension.With these space sampling intervals a total shot volume of4000×4000×2000 points has to be processed for each shotfor all timesteps (rounded up to 4096×4096×2048 to easebinary handling). On current systems, it takes months toprocess the sheer scale of such a survey.

Given these requirements we now examine the most timeintensive components of RTM: forward and backward RTMwavefield modeling. For the given survey size to reach acomputational time of a week, it would require the largestsupercomputer in the world containing more than 1M coresand consuming at least 38 MW of power. However, the en-ergy cost alone of operating such a large system is likelyprohibitive, as even inexpensive power (7 cents/kwh) trans-lates to approximately $1M/year per megawatt for a totalof $38M dollars per year. The goal of this project is there-fore to demonstrate that using an HPC platform tailored tothe requirements of the RTM computation, can enable a oneweek turn around for seismic image processing with an orderof magnitude improvement in the power requirements. Thiskind of energy efficiency would enable the unprecedentedpotential of interactive processing, resulting in a transfor-mation improvement in the seismic processing workflow.

2.4 Related WorkThere have been numerous studies examining architec-

tural performance on seismic algorithms [8, 25, 33]. Tech-niques to accelerate these methods have also been exploredon FPGA platforms [12, 17, 31]. Recently, researchers haveevaluated optimization schemes on GPUs [15, 26]. Variousapproaches have also been examined to address the band-width limitations of seismic migration codes [13, 40, 43].

Reorganizing stencil calculations to take full advantage ofmemory hierarchies has been the subject of much investiga-tion over the years. These have principally focused on tilingoptimizations [9, 10, 24, 35, 36] that attempt to reorganizestencil calculations to exploit locality and reduce capacitymisses; such optimizations are effective when the problemsize is larger than the cache’s ability to exploit temporal lo-cality. Additionally, investigations have explore the poten-tial of local-store based processor technologies on stencil sim-ulations [46] as much of the memory traffic could effectivelybe hidden via scratchpad double buffering. We have alsorecently studied the impact of co-tuning strategies in whichtraditional architecture space exploration is tightly coupledwith software auto-tuning for delivering substantial improve-ments in area and energy efficiency [30]. Recent work inarchitectural specialization include the Anton molecular dy-namics platform that achieved two orders magnitude im-provement over existing supercomputing systems at a frac-tion of the power requirements [38]. Finally, our group hasconducted a detailed exploration of Green Flash, a many-core processor design for high-performance systems basedon embedded computing low-power architectures, specifi-cally targeted for ultra-high resolution climate simulations[11, 45]. Green Wave and Green Flash are different pa-rameterizations of the same 128-core, local store augmentedarchitecture. Specifically, Green Flash used a generic mem-ory subsystem capable of 50GB/s (rather than quad-channel

Page 4: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

DDR3), used 128KB local stores (instead of 256KB), usedscalar XTensa cores (instead of VLIW XTensa), and lackedany instruction extensions for address calculations. In thiswork, the methodology of coupling applications, algorithms,and hardware is applied in the context of seismic modelingand produces the Green Wave design.

3. EVALUATED PLATFORMSIn this section we detail the hardware architecture eval-

uated in our study. To ensure a fair comparison, we in-clude among the most modern CPUs (Intel’s Xeon E5530Nehalem) and GPUs (NVIDIA’s Tesla C2050), and exam-ine highly optimized wave equation implementations on eachplatform. Performance and energy efficiency is comparedagainst Green Wave: our manycore design that trades highpeak flop rates for vastly increased on-chip capacity to maxi-mize on-chip locality. Key features of the three architecturalapproaches as shown in Table 2.

3.1 Intel Xeon X5530 (Nehalem)The Intel “Nehalem” X5530 CPU is the built on Intel’s

“Core”architecture. The architecture, reminiscent of AMD’sOpteron processors, integrates memory controllers on-chipand implements a QuickPath Interconnect (QPI) inter-chipnetwork similar to AMD’s HyperTransport (HT). QPI pro-vides access to remote memory controllers and I/O devices,while also maintaining cache coherency. Although Nehalemoffers two-way simultaneous multithreading (SMT) and Tur-boMode, both were disabled on our test machines.

The evaluated system is a dual-socket, quad-core 2.40 GHzXeon X5530 with a total of 16 hardware thread contexts.Each core has a private 32 KB L1 and a 256 KB L2 cache,and each socket instantiates a shared 8 MB L3 cache. Eachsocket integrates three DDR3 memory controllers operatingat 1066 MHz, providing a theoretical DRAM pin bandwidthof 25.6 GB/s to each socket. However, in practice bandwidthis often less than 19 GB/s.

3.2 FermiGPUs have recently gained adoption in many non-graphics

related fields, including seismic computing through NVIDIA’sCompute Unified Device Architecture (CUDA) programmingmodel. GPUs simultaneously execute many threads percore, hiding latency by switching between numerous concur-rent threads. Threads are grouped into programmer-definedthread blocks, where threads within a thread block can syn-chronize and communicate via shared memory.

In this paper we examine NVIDIA’s Fermi-based TeslaC2050, a high performance computing (HPC) oriented GPU.The C2050 consists of 14 Streaming Multiprocessors (SMs),768 KB of L2 cache, and 3 GB of GDDR5 global memory.Each SM consists of 32 cores operating at 1.15 GHz, 32K 32-bit registers, and 64 KB of memory that can be configuredin a 1:3 ratio as either shared memory or L1 cache. Ag-gregate shared memory (local store) bandwidth across theC2050 GPU is 1.03 TB/s, whereas global memory theoreti-cal bandwidth is 144 GB/s and is accessible by all threadsas well as host CPU. In our experiments we use the GPUwith ECC (error correction code) enabled, which somewhatreduces global memory bandwidth. The L2 cache is coher-ent across all SMs, whereas L1 caches are not. Since GPUsare accelerator cards they communicate through intercon-nects like PCIExpress and incur the respective host transfer

Core Intel NVIDIA TensilicaArchitecture Nehalem GF100 LX2

superscalar dual-warp VLIWType out-of-order in-order in-order

SIMD SIMT customClock (GHz) 2.40 1.15 1.00SP GFlop/s 19.2 73.6 2.00L1 Data $ 32 KB 16 KB 8 KB

L2 Data $/LS 256 KB 48 KB 256 KBSMP Xeon E5530 Tesla C2050 Green

Architecture (Gainestown) (Fermi) WaveThreads/core 2 48 (max) 1

Cores/socket 4 14† 128Sockets/SMP 2 1 1Shared Last $ 8 MB/socket 768 KB —

memoryparallelism

HW prefetch Multithreading DMA

On-chip RAM 18.3 MB 3.4 MB 32 MBDRAM Pin GB/s 51.2 144 (no ecc) 51.2

SP GFlop/s 153.6 1030.4 256Power under 390W (System)

RTM load298W

214W (GPU-only)66W‡

Die Area 263mm2 576mm2 294mm2

Process 45nm 40nm 45nm

Table 2: Details of the evaluated architectures. †Wecall each shared multiprocessor on a GPU a “core”.All bandwidths and flop rates are peak theoretical.‡All power is measured using an inline meter exceptGreen Wave which is derived via modeling tools.

time. The grid sizes in all our experiments were sufficientlysmall that they fit in the 3GB of GPU memory. As such,the limited PCIe bandwidth is amortized by the relativelysmall ghost zone exchanges.

3.3 Green Wave ArchitectureThe Green Wave architecture is optimized for energy ef-

ficiency (sustained flops per Watt) for stencil-based codes.Our approach is motivated by the stagnation of CPU clockrates, which is driving future performance to be extractedfrom explicit parallelism. In combination with the increas-ing cost of data movement, large arrays of simple, low-powercores are set to offer the best performance per Watt andgreatest scalability [2]. Additionally, it is generally agreedthat application specificity offers greater efficiency. Thusthe goal of our application-driven co-design Green Waveapproach, is to enable full programmability while allowinggreater computational efficiency than general-purpose pro-cessors and even GPUs by offering custom ISA extensions,and optimally sizing software managed memories and theon-chip network interconnect. This semi-custom design ap-proach offers the advantage of serving the needs of a broadvariety of scientific codes in contrast to full custom designs.

The lowest-level building blocks of the Green Wave designare pre-verified IP components from the embedded space.We then layer novel processor extensions and communica-tion services for greater performance and efficiency. This ap-proach minimizes the amount of design custom logic, whichin turn reduces verification costs and design uncertainty. Ex-tensive exploration of our Green Flash climate-simulationdesign have shown this to be an effective approach [11, 45].

Our hardware architecture is built upon the highly energy-efficient Tensilica LX2 core [41], a single-issue in-order in-struction processor combined with a floating point unit thatcan be customized in several dimensions. Tensilica’s XtensaProcessor Generator (XPG) toolchain enables rapid proto-typing of custom microprocessor cores. We assume a 45nmchip lithography technology to be consistent with the tech-

Page 5: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Xtensa

Xtensa

Local Store

Xtensa

Xtensa

$

$

$

$

To global network

To global network

Arbiter

Arbiter

DRAM

DRAM

Figure 2: Green Wave CMP Architecture -Lightweight Tensilica LX2 embedded cores are inter-connected a scalable 2D concentrated torus on-chipcommunication fabric for peripheral devices such asmemory controllers and off-chip IO.

nology scale used for the other processors in this study (Ta-ble 2). At 45nm, the LX2 can achieve a clock rate of 1GHzThe XPG tool, allows the addition of new instructions to thebase LX2 ISA (Instruction Set Architecture), as well as ad-ditional memory and interprocessor network interfaces. Inthis work, we modify the standard 80-instruction ISA in-cluded on each LX2 to include custom designed instructionsand extensions specifically geared to accelerate stencil-basedRTM modeling(Section 5.3). In addition, we customize thesize and configuration of the memory hierarchy — definingdata cache sizes and even local stores (software controlledmemories) to fit the RTM problem requirements as well asgive an overview over the full system design. This studyfocuses on single-node performance.

The XPG tool outputs both synthesizable RTL (registertransfer level) that can be used to create masks for a fullchip design, or target an FPGA platform for cycle-accuratemodeling of the target core design. In addition, XPG auto-matically generates C/C++ compilers, debuggers, and func-tional models that facilitate rapid software porting and test-ing of each new architectural variant. This environmentfor rapid prototyping and cycle-accurate emulation environ-ment is central to our hardware/software co-design process.

The Green Wave on-chip interprocessor fabric is derivedfrom the Green Flash design [11, 45]. The lightweight coresare interconnected using a scalable 4-way concentrated torustopology Network-on-Chip (NoC) shown in Figure 2, whichis parameterized allowing networks of different performanceand scale. We adopted this topology based on our recentcycle-accurate NoC studies [18, 19], which have shown thatthis approach provides the most energy-efficient solution forproblems — such as stencil-based RTM code — where thecommunication pattern is predominantly nearest neighbor.For this design study, the interprocessor communication isused primarily for communication between cores and mem-ory controllers (for data load/store) and to facilitate energy-efficient halo (ghost-zone) exchanges between the cores tofurther reduce memory bandwidth requirements by elimi-nating redundant loads associated with high-order stencils.

4. GREEN WAVE MODELINGA combination of both software-based tools and hard-

ware emulation techniques are used to predict the perfor-mance and power of the Green Wave architecture. Cycleaccurate software models from Tensilica provide us witha flexible, pre-built simulation solution for initial architec-tural exploration. In addition, software-based power mod-els provide dynamic power estimations while executing theRTM application. Network simulation environments, suchas PhoenixSim provide the ability to model on-chip commu-nication. Finally, hardware emulation techniques provideus with very fast, real world performance predictions baseddirectly on the RTL simulations of the processor These num-bers give confidence that our theoretical chip design, com-plete with custom ISA extensions, is physically realizable.Together these tools make up a preliminary version of theco-design for exascale (CoDEx) simulation environment [37].

4.1 Modeling Chip PowerGreen Wave power estimation is created by combining

the output of several specialized models. First, energy forevents originating in the cores is calculated using the en-ergy estimates provided by the industrial-strength Tensilicatools [41]. These estimates are created from feedback givento Tensilica from customers who fabricated their processorsthen measured the actual power consumption. Second, thedynamic energy for the caches and local stores is modeled ona per transaction basis using CACTI5 [42] modeling. Third,on-chip network energy is calculated by starting with the to-tal on-chip network communication requirements and thenscaling the energy numbers from recent studies [23] for ourtarget process technology. The NoC traffic patterns for haloexchange and associated power are modeled in detail usingPhoenixSim, in collaboration with the Columbia University[18, 19], and showed that a concentrated torus as the mostenergy-efficient topology for this class of applications. Thenetwork simulation use router and wire power costs derivedfrom Dally and Balfour’s study on electronic NoC model-ing [4]. Leakage power is assumed to be 20% of peak powerconsumed by the processor, on-chip memory and networkfor any configuration. Finally, we model DRAM energy us-ing the current profiles from the Micron datasheets [29] fora 1 Gb DDR3-1600 memory module and refine the modelfor performance and power consumption using the cycle ac-curate DRAMsim2 memory architectural simulator [44] toanalyze memory access traces collected through simulationof the Green Wave core executing the the wave propagationkernel. A breakdown of Green Wave power components isshown in Figure 3(left). Given the low-power nature of theTensilica cores, observe DRAM power constitutes roughlyhalf of the node’s total power. A Green Wave System onChip (SoC) design would also include an on-board Infiniband(IB) 4x QDR interface, but we do not currently include thepower or area for this interface in our model. Future workwill also take into account the power consumption from anon-board IB interface, but estimates based on existing ex-amples suggest it will consume area similar to that of theDDR-3 memory interface and between half to one quarterthe power depending on drive strength requirements.

4.2 Modeling Chip AreaThe area of a given processor configuration is an impor-

tant metric due to its effect on the end cost of fabricating and

Page 6: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Core + ISA Extensions

23%

Local Store + Cache 19%

DRAM + Controllers 49%

NoC 9%

Core 19%

Local Store 34%

DDR controller 7%

NoC 9%

ISA Extensions 12%

Cache 2%

Routing overhead 17%

Figure 3: Green Wave Component Breakdown, showing each component contribution of (left) total nodepower consumption and (right) total die area.

packaging the ASIC design. To this end, we model the hard-ware configuration area within the design space, assuming45nm chip lithography technology to ensure a fair compari-son against the GPU and Nehalem’s that use the a similarfeature size. For estimates of the XTensa core area, the Ten-silica toolchain provides direct estimates for a 65nm design,which are then reprojected to 45nm based on standard de-sign scaling rules. For our custom processor extensions theTensilica tools provide area measurement in terms of gatecount. As the gate count of the total processor is also pro-vided, it is straightforward to extend the area estimate of theTensilica tools by the associated instruction extensions over-head. CACTI5 [42] is used to model cache and local storearea. There is a fixed cost for routing and clocking thatadd another 20% to the core chip area. For NoC area esti-mations, we again used the cost models proposed by Dallyand Balfour [4]. Finally, the quad-channel memory interfaceadds 20 mm2 to the chip area regardless of the frequency weclock the DIMM. This area estimate is consistent with thespecifications for Denali DDR3 memory controller IP blocksfrom Cadence Inc. [7] together with Silicon Creations [39]Programmable Phase Locked Loop (PLL) for the physicalinterface. Given each XTensa core is only 1 mm2 and each256 KB local store is less than 2 mm2, the area consumed bymemory controllers is quite substantial. As such, there is aclear economy of scale by incorporating many cores to sharethe memory controller resources. The result is a 294 mm2

45nm chip, making Green Wave comparable in die size (andthus manufacturing cost) to a Nehalem processor, and sub-stantially smaller than the C2050 GPU that weighs in at ahefty 576 mm2 as shown in Table 2. Figure 3(right) presentsa breakdown of Green Wave area components.

4.3 Modeling Chip PerformancePrevious performance modeling of local store (LS) ar-

chitectures [46] have shown that communication (DRAM–LS) can straightforwardly be decoupled from compute (LS–FPU) on double buffered stencil codes. This allows boundand bottleneck analysis to accurately determine performance.A similar methodology is applied for Green Wave. To modelthe required time of direct memory access (DMA) data be-tween DRAM and the local store, the thread’s ideal blocksize must first be computed. This is done via a search thatdetermines the block dimension providing the best ratio ofstencil area to the requisite DRAM communication that fits

in the local store (after double buffering). The time requiredto transfer such blocks is the ratio of bytes to bandwidth percore. This overhead includes an overfetch of each block dueto the stencil halos, as shown in the last row of Table 1.

Next, we calculate the time required to perform the req-uisite stencils once a block has been transferred into thelocal store. Here we use the XPG toolchain to generate acycle-accurate software model of the configured processor,including any custom hardware extensions added to acceler-ate the RTM stencils. This model uses the XTensa Instruc-tion Set Simulator (ISS) to provide the number of cyclesrequired to execute a given code assuming the data residesin the local store. Finally, to calculate execution time percore using double buffering, we compute the maximum be-tween communication and computation. Given this upperbound allows us to determine overall performance based onthe number of cores, stencils per core, and flops per stencil.

4.4 RAMP SimulationThe XPG tools used to design a new processor core can

generate a complete RTL gate-list for the target design thatcan target an ASIC design flow or can be uploaded to anFPGA for fast, cycle-accurate emulation of the target chipdesign. Our study utilizes the Research Accelerator forMulti-Processors (RAMP) [6] as the FPGA emulation plat-form. The direct mapping to FPGAs on the RAMP hard-ware emulation platform and copious performance data pro-vide a fast, accurate emulation environment allowing thebenchmarking of real codes ensuring the application devel-opers are intimately involved in the hardware/software co-design process. Our current emulation environment providesdirect emulation support of four Green Wave cores completewith appropriately sized local stores, instruction extensions,etc., providing a realistic experimental platform.

Although the software simulation environment is unableto run problems with a volume larger than 1283 per-coredue to the limited amount of memory, 256MB, the simulatoris able to allocate. By contrast the FPGA-based platformavailable in the CoDEx simulation flow allows collection ofperformance data on problems of more realistic size becauseeach emulated core has access to several gigabytes of data.Further, the performance of the FPGA environment doesnot degrade as the number of emulated cores increase al-lowing us to model the performance of multiple cores withlittle penalty. The emulation is performed directly on the

Page 7: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

gate-level RTL mirroring the physical design that nominallywould be used for place-and-route, mask generation, andchip fabrication. The fact that the emulated logic is pre-cisely the actual circuit design for the target chip providesa superior level of confidence in our software-based methodsas we can constantly verify our software simulation resultsagainst those of the exact model of the hardware platform.By creating a software simulation environment that mimicsour hardware emulation environment we are able to calibrateour software-based methods on four cores giving confidencein the results when we scale to 128 cores using models.

5. PERFORMANCE OPTIMIZATIONIn this section we discuss wave equation performance op-

timization, starting with an existing reference implementa-tion. The optimizations can be divided into software modi-fications, and in the case of Green Wave, configuration andspecialization of the Tensilica processor for the demandsof RTM. Broadly speaking, the goals of software optimiza-tion (improving locality, maximizing parallelism, minimiz-ing memory traffic) are ubiquitous and independent of theunderlying architecture. However, the implementation is de-pendent on both the architecture and programming model.

5.1 CPU Software OptimizationOur previous stencil optimization work has demonstrated

some of the fastest multicore implementations in the litera-ture [9, 10, 46]. In this paper, we modify these techniquesfor the particular requirements of RTM. Foremost, we opti-mize the high-order laplacian stencil at the core of the waveequation. First, the reference implementation included aninner loop for generalized order. We manually unroll sev-eral variants and select the appropriate at runtime. Second,we merge cache blocking and thread parallelization to min-imize the intra-thread cache working set whilst simultane-ously maximizing the inter-thread overlap of working sets.On Green Wave, we extend this technique with DMA-filledmultibuffering to maximize utilization of its on-chip localstores. Third, we manually unroll and SIMDize the C code(including cache bypass) to express the requisite data- andinstruction-level parallelism as well as further optimize theSIMD implementation (“register pipeline”) to minimize L1accesses. Green Wave’s selection of scalar or VLIW coresand use of a local store obviates the need for SIMD andwrite allocate optimizations respectively. Finally, as the Ne-halem system is a dual-processor server, we exploit threadpinning a first touch policy to ensure proper NUMA allo-cation and to avoid under utilizing the memory controllersand over taxing the QuickPath Interconnect. The combi-nation of these optimization techniques resulted in signif-icant performance improvements, achieving almost a 40×speedup comparing the sequential single-core version to thefully optimized kernel running on 8 Nehalem cores. Detailedperformance results are presented in Section 6.

5.2 GPU Software OptimizationPerformance optimization of high-order stencils can be

particularly challenging on GPUs due to the complexitiesof properly exploiting CUDA shared memory, SIMT execu-tion, and memory coalescing. To mitigate these challengesand provide the highest possible performance, we used thefastest implementation of the high-order stencils available[27, 28] and ran them on our Fermi accelerated Nehalem

cluster. Broadly speaking, the GPU implementation uses amulti-buffering scheme similar to that used on the GreenWave design. However, the GPUs hide memory latencyvia massive multithreading rather than DMA. Due to thelimited DRAM capacity, subdomains were limited to 5123.While the GPU design space was fixed (thus under-utilizingvarious aspects), our co-design methodology allows us to findthe appropriate balance between memory capacity, memorybandwidth, per-core memory and per-core compute.

5.3 Green Wave OptimizationBefore starting the iterative co-design process, we selected

a number of fixed design choices that were adopted as bound-ary conditions for our design study. First, we chose to usea commodity quad-channel DDR3-1600 memory subsystem,which presents a low-risk design point from the standpointof practical ASIC packaging and power dissipation, and re-flects the memory performance of existing mainstream prod-ucts, such as the Nehalem. The choice of conventional mem-ory also simplifies the power model because the DDR com-ponents have a well characterized power profile. The sec-ond baseline design choice was to target a 45nm process tobe consistent with the other chip lithographies used in ourstudy. That choice bounds our target clock frequency to 1GHz, and clearly defines the parameters for the power andarea model of the our design. Finally, Green Wave utilizes a(software controlled) local store architecture as the primaryon-chip cache memory, as previous studies on the Cell havedemonstrated the substantial efficiency benefits that can bederived from that approach [46] — particularly for stencilcomputations. A small L1 data cache remains for each pro-cessor in order to support convenient code porting, but thelocal store is the primary approach for latency hiding andcapturing temporal recurrences in the RTM algorithm.

5.3.1 Local-Store Size and Core CountThe first hardware optimization was selecting the on-chip

memory size so as to capture the maximum number of tem-poral recurrences for the high-order stencil kernel. In Sec-tion 2.2 we calculated an average memory traffic per stencillower bound of 17.6 bytes for the 8th order wave equation us-ing 64×32 cache blocks. Moreover, as our implementationmandates such a kernel keep a working set of 12 workingand 4 buffered planes (some with halos) in the local store,the wave equation would require a 166 KB of local store forthis decomposition. As one moves to the 12th order waveequation, the memory requirements increase to 238 KB percore. Once Green Wave is configured with sufficient on-chipmemory to capture all recurrences, we then determined thenumber of processors required to saturate the off-chip mem-ory bandwidth. Note that an iterative optimization processis necessary, as changes in the core count requires a resiz-ing of the local-stores (to incorporate the halos from theblocked implementation), which in-turn impacts the opti-mal core count (to effective capture temporal recurrences).This analysis resulted in a design choice of approximately100 processor cores and 238 KB of local-store per core. Asa conservative estimate, both of these figures were roundedup to the nearest power-of-two multiple (256 KB local storeand 128 processor cores) to simplify the layout of the SRAMmats on chip as well as the NoC topology.

5.3.2 VLIW Extensions

Page 8: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

0  

1000  

2000  

3000  

4000  

5000  

NHM   Fermi   GW   NHM   Fermi   GW  

8th  Order   12th  Order  

MPo

ints/s  

+Custom  Instruc?ons  +VLIW  +Op?mized  CUDA  +Cache  Bypass  +Register  Pipeline  +NUMA  +SIMD  +Cache  Blocking  +Loop  Unrolling  Baseline  

0  5  

10  15  20  25  30  35  40  45  50  

NHM   Fermi   GW   NHM   Fermi   GW  

8th  Order   12th  Order  

MPo

ints/s/W

 

Figure 4: Wave Equation Performance for 8th and 12th order on 5123 grid. (left) Raw performance. (right)Energy efficiency, with circle representing solely GPU power (host power ignored).

The Tensilica design flow enables us to add hardware op-timizations specifically tailored to RTM-based stencil com-putations to software optimization techniques. Because theGreen Wave architecture includes a correctly sized (256 KB)local store for capturing all temporal recurrence of data, ourperformance model only requires a fixed latency model formemory accesses, and focuses the optimization effort on re-ducing instruction count. Therefore our co-design approachleverages the Tensilica compiler’s ability to bundle instruc-tions into VLIW, allowing for co-issue of instructions. Be-cause RTM computations are floating-point intensive, thebase LX2 processor was configured to support maximuminstruction dispatch width of 64 bit and data Load/Storewidth of 128 bits, allowing multiple floating point instruc-tions to be concurrently issued. The Xtensa compiler auto-matically bundles opcodes depending on the designers spec-ifications of what opcodes are legal in which slots. From ahardware perspective, the processor generator tool createsparallel pipelines capable of executing the various instruc-tions in each slot. This is a simple and effective optimizationthat requires no code changes while providing a potentiallysignificant performance boost.

5.3.3 Custom Instruction DesignAnother approach towards reducing instruction count is

the creation of custom instructions that allow the “fusing”of commonly used operations. Here we can leverage a keyfeature in the Tensilica LX2 design flow that allows the cre-ation of custom instructions and data types [41]. These in-structions are written in a language similar to Verilog thatTensilica calls via the TIE interface. The custom instruc-tions are fully supported by the Xtensa compiler and becomenative intrinsics for use in software development and perfor-mance modeling. Our first custom allows the computationof Y and Z loop indices for a given stride to be performedconcurrently. These indices are stored in special registersfor later use as offsets into the data array. The originalcode version would calculate these offsets individually, thendo pointer arithmetic to fetch the correct data point fromthe array. By pre-computing these values via the custominstructions the user can pass a pointer to the start of thearray, then select the direction (Y or Z) as well as the offset(1 through 8) of the desired value. This instruction fetchesthe pre-computed offset from the register, calculate the ad-dress, feeds this new address to the processor’s load/storeunit and then return the value — essentially collapsing twoinstructions into a single fast array index operation.

Next, because the LX2 has a limited number (16) of float-

ing point registers, a second 128-bit register file was createdto allow space for more temporaries and an efficient path fordata exchanges between loops iterations. The register filecan be accessed in non-traditional ways, such as rotating a32-bit float in or out of an individual register, or registerloading with four 32-bit values from the most or least signif-icant bit. Note that the TIE language does have limitations:while the TIE compiler auto multi-porting of register filesto support multiple reads per instruction, each instructionis limited to one write per register file. Thus allowing for avariety gather operations, but no scatter support.

The novelty in this approach is not the (relatively straight-forward) custom instructions taken in isolation, but ratherthe contribution of these instructions in the context of aco-design methodology where only the specific functionalityneeded to efficiently solve a problem is added to the hard-ware. Although many of these features are available in otherexisting architectures, we are able to provide only the subsetthat improves performance — thus maximizing energy effi-ciency while maintaining programmability. In addition, thegeneral-purpose nature of these instructions allows them tobe applied to other stencil-based computations, allowing theGreen Wave solution to be applicable to a wide-variety ofhigh-order methods. Finally, these custom instructions al-low high performance with a simpler programming method-ology than Intel intrinsics or CUDA, as detailed in Section 6.

6. PERFORMANCE ANALYSISIn this section, we discuss the performance and energy ef-

ficiencies of Nehalem, Fermi, and Green Wave in the contextof our optimized implementation. All experiments are con-ducted in single-precision as described in Section 2 using a5123 grid, with a memory footprint of about 2GB.

6.1 Performance and Energy EfficiencyFigure 4(left) presents the performance of our three ma-

chines running the (spatially) 8th and 12th order wave equa-tion on a 5123 grid. Recall that the wave equation behavioracts as an effective proxy for the more complex full RTMproblem. The Fermi accelerated node outperforms bothNehalem and Green Wave, attaining an advantage rangingfrom 3–4× and 1.6–1.7× respectively. Our Green Wave per-formance modeling actually shows that bandwidth reducesthe potential performance of the 8th order stencil by 26%.For the 12th order stencil Green Wave is perfectly balancedbetween per-core performance and memory bandwidth. Inhigher order implementations, the compulsory memory traf-fic remains roughly constant (4% increase), while the arith-

Page 9: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

Architecture Nehalem Fermi Green WaveTotal Nodes 127,740 66,823 75,968

MPoints/Watt 4.27 6.28 32.63Time in Communication 9.5% 43% 16%

Total MWatts 38.2 26.1 5.0

Table 3: Extrapolated cluster configuration, sus-tained energy efficiency and power consumption tocomplete 8th order forward and backward model of30k × 20k × 10k survey with 12,000 timesteps in oneweek. Subclusters consist of 256 nodes each witha 5123 subdomains. Power estimates based on ag-gregate node-level requirements and do not includeinterconnect, external storage, or cooling.

metic intensity (as well as flops per point) increases linearlywith order. Therefore, when the calculation is not band-width bound, there is a slight degradation in per-core per-formance. Overall, these results suggest that we have se-lected the right balance between the number of cores, localstore capacity, and bandwidth given the spectrum of explicitnumerical methods seen in RTM.

Once power considerations are taken into account, GreenWave shows a 8× and 3.5× energy efficiency advantage overNehalem and Fermi respectively. If host power on the Fermi-accelerated system is ignored, Green Wave’s efficiency ad-vantage is reduced to about 2× as shown by the small greencircle above the fermi bar in Figure 4. Green Wave would re-quire at least six DDR3 memory controllers to match Fermi’sperformance. Although this would increase node power byroughly 25% and increase energy efficiency by a further 20%,four controllers is comparable to existing commodity prod-ucts such as the Xeon and Opteron processors. Using amore conservative design choice for memory technology, wedemonstrate that the Green Wave approach is well suitedtowards the parallel nature of RTM stencil calculation andcan utilize hardware customization to maximize energy ef-ficiency whilst maintaining general programmability. Addi-tionally, our approach enables an extremely power-efficientsolution with minimal software optimization.

6.2 Projected System Scale EfficiencyWe now return to the large-scale survey analysis prob-

lem described in Section 2.3 that performs 8th order for-ward and backward modeling for a 30k × 20k × 10k surveywith 12,000 timesteps and a total of 120,000 independentshots within one week. The RTM calculation for each shotrequires a 4096×4096×2048 volume that is domain decom-posed into 5123 subdomains per node in a 8 ×8×4 processorgrid (256 nodes per shot). These groups of 256 nodes arearranged as tightly-coupled sub-cluster that is connected toan Infiniband 4x QDR leaf switches that uplink to a globalsystem fabric that tapers bandwidth at the upper switchtiers as there is comparatively less communication betweensub-clusters. A tapered CLOS network of sub-clusters caneasily scale up the total number of sub-clusters to reach thetarget throughput of 120,000 shots per week.

In order to quantify communication time, collected MPIperformance data (including buffer packing, and PCIe trans-fer time) on the NERSC Dirac Nehalem/GPU cluster run-ning 5123 problems using IPM. Table 3 presents the extrap-olated node configuration, energy efficiency and power con-sumption for the three evaluated technology solutions. Notethat the power estimates are based on a detailed analysiswithin the node, but do not include power requirements of

interconnect, external storage, cooling and building. Futurework will include a system scale-model that includes theseadditional components. However, we assume the total quan-tity of I/O and other resources required by the comparedcluster solutions would be nearly identical to achieve thesame system throughput and storage capacity and thereforeconstitute a fixed-cost for each solution and are smaller thanthe projected power consumption of the node and memory.

To qualify our claim that node and memory dominatepower consumption, we use power measurements from theNERSC Carver cluster [32], to collect the GPU and CPUperformance data, and measurements of similarly config-ured infiniband x86 clusters [21, 34]. We have estimatedthe power that needs to be consumed by a disk subsystemthat is matched to the throughput requirements of the sys-tem accounts for less than 5% of the overall system powerbased on existing RAID-based storage solutions and a simi-larly configured scalable Infiniband switch solution based IB4x QDR would account for less than 5-12% of overall systempower contributions. Finally, the NERSC center where theGPU and CPU tests were performed, delivers a Power Us-age Effectiveness (PUE) of 1.3, so cooling is not a significantfactor. Therefore, the memory and node power captures thedominant contributors to overall system power consumption.

Extrapolating per-node performance, communication, andpower requirements, we find that a Nehalem-based clusterwould require on the order of 128,000 nodes (arranged insubclusters of 256) and consume approximately 38 MWattsof power for the nodes alone. A GPU-based solution wouldreduce the requirements to 66,800 nodes but would still re-quire a substantial 26 MWatts. The Green Wave approachrequires more nodes (75,000) but only 5 MWatt of power— a 7.6× and 5.2× energy efficiency improvement in thisaspect of the system as compared to Nehalem and Fermi(respectively). The advantage of a Green Wave full systemdesign would likely be further increased through passive sav-ings e.g. for cooling and software development. This signifi-cant power savings would open the potential for high-qualityinteractive survey analysis at large scale.

6.3 Analysis of Green Wave MethodologyThe co-design methodology used to architect Green Wave

demonstrates a clear performance-per-watt advantage overmore general-purpose architectures by leveraging applica-tion specificity. This trend is evident when observing the in-crease in performance when moving from larger, more com-plex cores to arrays of simpler cores. Fermi is similar toGreen Wave as it is a collection of relatively simple cores,however, while Fermi is able to achieve a greater raw perfor-mance number, it’s energy efficiency is burdened with fea-tures specific for graphics processing that do not assist withthe stencil computation, such as rasterizer, texture sampler,ISA extensions, etc. In contrast, Green Wave begins with anextremely simple, low-power core and relies on the ability toselectivity add only the instructions and features necessaryfor accelerating stencil computation. In addition to extend-ing the instruction set, thorough application profiling al-lows us to properly size the Green Wave local stores, caches,and on-chip network to create a more balanced architecture.These customizations do not come at the expense of flexibil-ity or ease of programmability and allow a straightforwardmapping from ANSI C to the stencil-specific optimizations.Finally, we note that the potential challenges of correctly

Page 10: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

utilizing the software managed memories that act as a keycomponent in the superior performance of the Green Wavearchitecture are not unique to our design.

Our approach relies on off-the-shelf IP to reduce designand verifications costs. Based on a survey of current in-dustry pricing, the IP cost of licensing circuit designs forDDR3 memory controllers, PLL for off-chip drivers, NoCimplementations, and customizable cores for Green Flashwould be approximately $2.5M. By contrast, a full-customdesign for any single component would increase design andverification costs by one to two orders of magnitude. Pro-jected non-recurring expenses (NRE) for design services fordesign integration, verification and mask generation aver-aged $2M. Manufacturing costs for the first 10,000 chips ata 45nm fab (including testing and packaging) is estimated tobe $200/unit and reduces by 35% for volumes greater than50,000 units. Given this cost model, the important lessonis that using this design methodology we can move beyondthinking of the chip as the commodity. Rather, one shouldthink of the Intellectual Property that you put onto the chipas the commodity. We believe this design philosophy, com-bined with a co-design methodology, could transform theway we design supercomputing systems in the future.

7. CONCLUSION AND FUTURE WORKThe computational demands for RTM simulations for seis-

mic analysis have risen to enormous levels due to ever risingsurvey sizes and the need for ever increasing image quality.Unfortunately, the cost and performance of supercomput-ing systems used to address these problem are increasinglydominated by power bills that are set to exceed the costof hardware acquisition. The urgent need to improve per-formance and simulation quality at a fixed power budgethas pushed industry to evaluate alternative computing plat-forms. In this work we have compared competing hardwareapproaches for energy-efficient seismic modeling, which in-clude modern CPU, GPU-accelerated computing, and solu-tion composed of an array of lightweight embedded coresthat we call Green Wave. Green Wave embodies a hard-ware/software co-design design methodology that focuses onusing agile design synthesis tools and cycle-accurate simula-tion from the embedded design space to optimize the tradeoffbetween performance and power requirements while main-taining general-purpose programmability.

The Green Wave architecture augments baseline low-powerembedded processors with layered hardware optimizations,including optimized local store size, core count, VLIW ex-tensions, and register file configuration. Additionally, wereduced CPI (cycles per instruction) by creating custom in-structions that allow the fusion of commonly used operationsin PDE solver address calculations. These new instructionsare fully supported by the XTensa compiler and becomenative intrinsics for use in software development and per-formance modeling, and are broadly applicable to a rangeof PDE solver problems outside of RTM kernel we inves-tigated in this paper. Detailed modeling of Green Wave’spower consumption using Tensilica’s power estimation toolsand performance using the FPGA-accelerated cycle accu-rate played an essential role in the codesign and performanceevaluation process. Unlike many hardware simulation envi-ronments, the power and timing models for this emulationenvironment have been verified by comparison against simi-

lar taped-out ASIC designs from a broad range of embeddedapplications. Green Wave comparisons with fully-optimizedCPU and GPU code version showed that, although rawperformance was slower than Fermi by up to 1.5×, GreenWave outperformed the Nehalem and Fermi energy efficiencyby more than 8× and 3.5× respectively for node to nodecomparison and 7.6× and 5.2× for system level. Althoughthe peak Flop/s of Intel’s latest Xeon-E3 processors (SandyBridge) is more than double that of Nehalem, they haveno more bandwidth. As such, their sustained energy effi-ciency on bandwidth-bound, high-order wave equations willbe comparable to today’s Nehalems. Furthermore, the en-ergy efficiency of future designs (Intel, NVidia, and GreenWave) all benefit from improved chip lithography and mem-ory technology, so efficiencies demonstrated for Green Wavecan reasonably continue for future die shrinks. Thus, evenat this early development stage, these results highlight thelong-term potential impact of our design methodology.

It is important to note that, although memory organiza-tion and locality is critical for all three evaluated architec-tures, tuning for Green Wave is arguably no more complexcompared with Nehalem and Fermi. We highlight that arelatively short amount of effort was required to modify thesimple, general-purpose embedded core to one that is en-hanced for stencil computations. This application-targetedcore was quickly generated using mostly off-the-shelf IP andrepresents a significantly easier validation target than a fullcustom design — a testament to the sophistication and flex-ibility that is available in modern embedded design tools.

In future work, we will refine our node models by integrat-ing a more flexible cycle accurate network-on-chip simula-tion that we have studied in previous work with Cornell [18,20] with the node simulation infrastructure. This combinedsimulation platform will enable us to explore the energy effi-ciency and performance of alternative on-chip NoC optionsand their interaction with novel extensions to the Tensilicainstruction set for efficient on-chip communication. Addi-tionally, we will build on our efforts to improve the robust-ness of the Green Wave architecture to address a broaderrange of full RTM code requirements. This includes refiningour performance modeling of the full system configuration interms of external storage configuration, as well as address-ing upcoming algorithmic challenges such as implementingRTM using the full elastic wave equation. Lastly, we willfurther improve our model with measurements of Infinibandpower consumption for on-chip interfaces for the SoC andthe network switch infrastructure. Our current measure-ments of IB hardware indicates that it is a comparativelysmall contribution to the overall power consumption of thesystem, but will present results after we have more completedata. Across this increased design space, we will search for apareto-optimal design point that ensures robust performanceand energy efficiency across the range of RTM requirements.

In summary, we have demonstrated the potential of theco-design methodology to develop and evaluate HPC designsthat can offer substantially improved energy efficiency forRTM codes. We believe that our proposed approach holdstremendous promise for the broader landscape of energy-efficient HPC design in the face of skyrocketing computa-tional demands and power-limited environments — for boththe seismic industry as well as a broad range of numericallydemanding applications classes that are of interest to theworldwide scientific community.

Page 11: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

AcknowledgmentsAll authors from Lawrence Berkeley National Laboratory were

supported by the DOE Office of Advanced Scientific Computing

Research under contract number DE-AC02-05CH11231. The au-

thors kindly thank Paulius Mickievicius for providing us with the

optimized GPU code version and his numerous insightful com-

ments. The authors also thank Chris Rowen and Tensilica Inc.

for their advice and support of this project. We also thank Marty

Deneroff who has provided a lot of advice and expertise on system

design trade-offs and cost models for practical implementation.

References[1] M. Araya-Polo, F. Rubio, M.Hanzich, R. de la Cruz, J.M.

Cela, and D.P. Scarpazza. High-performance seismic acous-tic imaging by reverse-time migration on the cell/b.e. archi-tecture. In ISCA2008, 2008.

[2] Krste Asanovic, Ras Bodik, Bryan Christopher Catanzaro,Joseph James Gebis, Parry Husbands, Kurt Keutzer,David A. Patterson, William Lester Plishker, JohnShalf, Samuel Webb Williams, and Katherine A. Yelick.The landscape of parallel computing research: A viewfrom Berkeley. Technical Report UCB/EECS-2006-183 (http://www.eecs.berkeley.edu/Pubs/TechRpts/2006/EECS-2006-183.html ), EECS Department, University of Califor-nia, Berkeley, December 2006.

[3] Satish Balay, Kris Buschelman, William D. Gropp, Di-nesh Kaushik, Matthew G. Knepley, Lois Curfman McInnes,Barry F. Smith, and Hong Zhang. PETSc Web page, 2009.http://www.mcs.anl.gov/petsc.

[4] James Balfour and William J. Dally. Design tradeoffs fortiled cmp on-chip networks. In ICS ’06: Proceedings ofthe 20th annual international conference on Supercomput-ing, pages 187–198, New York, NY, USA, 2006. ACM.

[5] Edip Baysal, Dan D Kosloff, and John W.C. Sherwood. Re-verse time migration. In Geophysics, volume 48(11), 1983.

[6] Berkeley Wireless Research Center. RAMP Homepage.http://ramp.eecs.berkeley.edu/.

[7] Cadence Inc. Denali DDR3 memory controller IPt. Whitepa-per, April 2011. http://www.cadence.com/solutions/dip/memorystorage/ddr_cntrl_ip/Pages/default.aspxl.

[8] Robert G. Clapp, Haohuan Fu, and Olav Lindtjorn. Selectingthe right hardware for reverse time migration. The LeadingEdge, 29(1), 2010.

[9] Kaushik Datta, Shoaib Kamil, Samuel Williams, LeonidOliker, John Shalf, and Katherine Yelick. Optimization andperformance modeling of stencil computations on modernmicroprocessors. SIAM Review, 51(1):129–159, 2009.

[10] Kaushik Datta, Mark Murphy, Vasily Volkov, SamuelWilliams, Jonathan Carter, et al. Stencil Computation Opti-mization and Auto-Tuning on State-of-the-art Multicore Ar-chitectures. In Proceedings SC ’08, pages 1–12, Piscataway,NJ, USA, 2008. IEEE Press.

[11] D. Donofrio, L. Oliker, J. Shalf, M. Wehner, C. Rowen,J. Krueger, S. Kamil, and M. Mohiyuddin. Energy-efficientcomputing for extreme-scale science. In IEEE Computer,2009.

[12] James P. Durbano, Fernando E.Ortiz, John R. Humphrey,Mark S. Mirotznik, and Dennis W.Prather. Hardware im-plementation of a three dimensional finite-difference time-domain algorithm. In IEEE Antennas and Wireless Propa-gation Letters, volume 2, 2003.

[13] Eric Dussaud, William W. Symes, Paul Williamson, LarentLemaistre, Paul Singer, Bertrand Denel, and Adam Cher-rett. Computational strategies for reverse-time migration.In SEG, Las Vegas, 2008.

[14] Peter Kogge et al. Exascale computing study: Technologychallenges in achieving exascale systems. http://users.ece.gatech.edu/~mrichard/ExascaleComputingStudyReports/exascale_final_report_100208.pdf, 2008.

[15] Darren Foltinek, Daniel Eaton, Jeff Mahovsky, PeymanMoghaddam, and Ray McGarry. Industrial-scale reversetime migration on gpu hardware. In SEG Houston Inter-national Exposition, 2009.

[16] Haohuan Fu, William Osborne, Robert G. Clapp, Oskar-Mencer, and Wayne Luk. Accelerating seismic computa-tions using customized number representations on fpgas.EURASIP Journal on Embedded Systems, 2008.

[17] Chuan He, Mi Lu, and Chuanwen Sun. Accelerating seismicmigration using fpga-based coprocessor platform. In IEEESymposium on Field-Programmable Custom Computing Ma-chines, 2004.

[18] Gilbert Hendry, Johnnie Chan, Shoaib Kamil, Lenny Oliker,John Shalf, et al. Silicon nanophotonic network-on-chip us-ing tdm arbitration. High-Performance Interconnects, Sym-posium on, 0:88–95, 2010.

[19] Gilbert Hendry, Shoaib Kamil, and Aleksandr Biberman.Analysis of photonic networks for a chip multiprocessor usingscientific applications. In NOCS, pages 104–113, 2009.

[20] Gilbert Hendry, Shoaib Kamil, Aleksandr Biberman, John-nie Chan, Benjamin G. Lee, Marghoob Mohiyuddin, AnkitJain, Keren Bergman, Luca P. Carloni, John Kubiatowicz,Leonid Oliker, and John Shalf. Analysis of photonic net-works for a chip multiprocessor using scientific applications.In NOCS, pages 104–113, 2009.

[21] Voltaire Inc. Datasheet for voltaire qdr infiniband switch foribm idataplex, 2011. http://www.voltaire.com/assets/files/Voltaire_IBM_BC-H_HSSM_datasheet-WEB-070109.pdf.

[22] Jonathan G Koomey. Worldwide electricity used in datacenters. Environ. Res. Lett., 3(034008), 2008.

[23] Jacob Leverich, Hideho Arakida, Alex Solomatnikov, AminFiroozshahian, Mark Horowitz, and Christos Kozyrakis.Comparing Memory Systems for Chip Multiprocessors. InInternational Symposium on Computer Architecture, 2007.

[24] A. Lim, S. Liao, and M. Lam. Blocking and array contrac-tion across arbitrarily nested loops using affine partitioning.In Proceedings of the ACM SIGPLAN Symposium on Prin-ciples and Practice of Parallel Programming, June 2001.

[25] Wei Liu and et al. Anisotropic reverse-time migration usingco-processors. In SEG Houston International Exposition.SEG, 2009.

[26] Paulius Micikevicius. 3D finite difference computation onGPUs using CUDA. In GPGPU-2: Proceedings of 2ndWorkshop on General Purpose Processing on Graphics Pro-cessing Units, 2009.

[27] Paulius Micikevicius. 3d finite difference computation ongpus using cuda. In GPGPU-2: Proceedings of 2nd Work-shop on General Purpose Processing on Graphics ProcessingUnits, pages 79–84, New York, NY, USA, 2009. ACM.

[28] Paulius Micikevicius. Performance scaling of 3d finite differ-ence computation on gpu clusters. Technical Report NVR-002-2009, NVIDIA, December 2009.

Page 12: Hardware/Software Co-design for Energy-Efficient Seismic Modeling

[29] Micron Inc. Calculating Memory System Power for DDR3,June 2010. http://www.micron.com/support/dram/power_calc.html.

[30] M. Mohiyuddin, M. Murphy, S. Williams, L. Oliker, J. Shalf,and J. Wawrzynek. A Case for Hardware/Software Co-Tuning for Power Efficient Scientific Computing. In Proc.SC’09, 2009.

[31] E. Motuk, R. Woods, and S Bilbao. Implementation of finitedifference schemes for the wave equation on fpga. Technicalreport, University of Belfast, 2005.

[32] NERSC. Carver/Magellan Web page, 2010. http://www.nersc.gov/systems/carver-ibm-idataplex/.

[33] Francisco Ortigosa, Mauricio Araya-Polo, Felix Rubio,Mauricio Hanzich, Raul de la Cruz, and Jose Maria Cela.Evaluation of 3d rtm on hpc platforms. In Barcelona Super-computing Center, editor, SEG, 2008.

[34] Ramesh Radhakrishnan, Rizwan Ali, and Vishvesh Sa-hasrabudhe. Evaluating energy efficiency in infiniband-baseddell poweredge energy smart clusters. Dell Power Solutions,February 2008.

[35] G. Rivera and C. Tseng. Tiling optimizations for 3D sci-entific computations. In Proceedings of SC’00, Dallas, TX,November 2000. Supercomputing 2000.

[36] S. Sellappa and S. Chatterjee. Cache-efficient multigrid al-gorithms. International Journal of High Performance Com-puting Applications, 18(1):115–133, 2004.

[37] John Shalf, David Donofrio, Curtis Janssen, and Dan Quin-lan. CoDEx Web page, 2011. http://www.nersc.gov/projects/CoDEx.

[38] David E. Shaw, Ron O. Dror, John K. Salmon, et al.Millisecond-scale molecular dynamics simulations on anton.In Proceedings SC’09, pages 1–11, New York, NY, USA,2009. ACM.

[39] Silicon Creations Inc. Si Creations Programmable PLL IPproduct. Whitepaper, April 2008. http://www.siliconcr.com/news.html.

[40] William W. Symes. Reverse time migration with optimalcheckpointing. In SEG, 2007.

[41] Tensilica Inc. Xtensa Architecture and Performance.Whitepaper, October 2005. http://www.tensilica.com/pdf/xtensa_arch_white_paper.pdf.

[42] Shyamkumar Thoziyoor, Naveen Muralimanohar, Jung HoAhn, and Norman P. Jouppi. CACTI 5.1. Technical ReportHPL-2008-20, HP Labs, 2008.

[43] Reverse time migration with random boundaries. Reversetime migration with random boundaries. In SEG, 2009.

[44] D. Wang, B.Ganesh, N. Tuaycharoen, K. Baynes, A. Jaleel,and B. Jacob. Dramsim: a memory system simulator.SIGARCH Comput. Archit. News, 33(4):100–107, 2005.

[45] M. Wehner, L. Oliker, and J. Shalf. Green Flash: Designingan energy efficient climate supercomputer. In IEEE Spec-trum, 2009.

[46] S. Williams, J. Shalf, L. Oliker, S. Kamil, P. Husbands,and K. Yelick. Scientific Computing Kernels on the CellProcessor. International Journal of Parallel Programming,35(3):263–298, 2007.

[47] Oz Yilmaz. Seismic Data Analysis. Society of ExplorationGeophysics, 2001.


Recommended