+ All Categories
Home > Documents > Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster...

Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster...

Date post: 16-Mar-2018
Category:
Upload: dotram
View: 214 times
Download: 2 times
Share this document with a friend
57
/ Slide 1 Optical Maskless Lithography (OML) Project Status Timothy O’Neil, Arno Bleeker, Kars Troost SEMATECH ML 2 Conference January 2005
Transcript
Page 1: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 1

Optical Maskless Lithography (OML)Project Status

Timothy O’Neil, Arno Bleeker, Kars Troost

SEMATECH ML2 ConferenceJanuary 2005

Page 2: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 2

Agenda

Introduction and Principles of OperationDARPA Program Activitiesw Contrast Device Test Standsw Systems Engineeringw Modeling Results

Micronic SIGMA 7300 resultsSummary and Conclusions

Page 3: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 3

Project Status within ASMLASML views OML as natural extension of the optical lithography roadmap, especially for low wafer/mask situations

Throughout 2004, technical and commercial studies have been performedw Technical

• SLM contrast device

• Projection and illumination optics

• Datapath

w Commercial• Customer applications

• Product positioning and roadmap

Page 4: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 4

Advantages of OML

Fab transparency (e.g. same resist platform as mask-based)Advances in conventional mask-based lithography are readily extendable to OML w Wavelength reductionw Immersionw OPCw Strong phase-shifting

Maskless lithography providesw Reduced cost of introduction and faster time-to-market for new designsw Reduced cost of manufacturing of low-volume designs

Leverages TWINSCAN® platform and optics expertise at customer and within ASML

Page 5: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 5

OML: Projected Key Specifications

TWINSCAN XTMASKLESS

OpticalMasklessScanner

Technology node: 65/45nm half pitchWavelength: 193 nm Illumination: Conventional, Annular, Dipole,

Quasar, .....Throughput: 5 wph (300mm)

Page 6: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 6

Optical Maskless LithographySystem Overview

Conceptw Illumination light is reflected from a

dynamic pattern generating device (Spatial Light Modulator, or SLM)

w SLM contains a section of a desired circuit pattern

w Pattern is imaged onto a substrate through a high de-magnification projection lens

First Technology Use: Micronicw Sigma 7300 photomask writing

system (results reported in this meeting)

w One SLM (16 µm mirrors, 1 MPixel)

(1): Sandström, et al. Micronic Laser Systems. “Pattern Generation with SLM Imaging”. Proceedings of SPIE Vol. 4562 (2002)

(1)

Page 7: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 7

DUVLaser

IllumOptics

SLM Contrast Devices

ImagePlane

>100x Proj Optics

Imaging Engine of the OML ScannerThe Spatial Light Modulator (SLM)

Example ContrastDevice:

Micronic / Fraunhofer SLM16 µm square tilting pixels8 mm x 33mm active area

512 x 2048 pixels(1.048 MPixels per SLM)

Multiple devices are usedin parallel to achieve

throughput requirements

OML Scanner

Multiple contrast device technologies are being evaluated.(2) Sandström, et al. Micronic Laser Systems. “Pattern Generation with SLM Imaging”. Proceedings of SPIE Vol. 4562 (2002)

(2) (2)

Page 8: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 8

Systems EngineeringAlternative Pixel Geometries

ASML is actively engaging with all SLM suppliers to evaluate actuation principles and alternatives.

Operating Principle Phase & Intensity Range

Tilt

Phase interference between each half of mirror creates net intensity thru tilt.

0o phase: 0% - 100%

180o phase: 0% - 4%

Phase-Step Tilt

Like tilt with λ/4 phase step. Provides balanced intensity range for 0o and 180o phase.

0o phase: 0% - 50%

180o phase: 0% - 50%

Piston

Pure phase manipulation. Interference with neighboring mirrors manipulates intensity.

Any phase between 0o and 360o: 0% - 100%

Page 9: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 9

Tilt Mirror Intensity

Tilt SLMsPrinciple of Image Formation

Bright (full reflection into pupil) when mirror is at zero tiltGray Tone (partial reflection into pupil) at intermediate tilt positionsAttenuated Phase Shift(reflection into pupil with 180o

phase shift) when mirror tilt is beyond λλλλ/4 height difference edge-to-edge

Capable of emulating the imaging capabilities of binary and att-PSM masks.

Page 10: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 10

ClearDarkAttenuatedShifted

0

Amplitude

+0.7

-0.7

Tilt αRe(Refl)[Amplitude]

Im(Refl)[Phase]

Dark (no reflection into pupil) when mirror is at zero tiltBright (70% reflection), symmetrical in positive and negative phase Gray Tone (partial reflection into pupil) at intermediate tilt positions for both positive and negative phase

Phase-Step Tilt SLMsemulate alt-PSM and CPLTM Masks

Page 11: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 11

Gray Tone (grouped mirrors for destructive interference) by alternating pistons in checkerboard pattern. w Gray-tone based on relative

heights in checkerboardw Phase based on the average

height of the checkerboard

Phase Edge (line interference) by alternating rows / columns of height.

Piston SLMs emulatealso alt-PSM, CPLTM and multi-phase masks

Page 12: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 12

Writing Strategy:Loading and Writing a Pattern

1. Break die pattern into stripes.

Idealized pattern data

5. For each stamp, apply pixel calibration data and send final processed image to SLM.

+ =SLM

calibrationData to be sent

to SLM 6. Wafer is printed by controlling the sequence of stamps and stripes across all SLMs in the array.

3. Load full micro-stripes into each SLMs drive electronics.

2. Break stripe into micro-stripes. Each micro-stripe spans one row of SLMs in the array.

Micro-shot n Micro-shot n+1 Micro-shot n+2

4. Address the position of the next stamp in the micro-stripe. This address determines the pattern data from the die to be included in stamp.

Page 13: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 13

Field Writing Strategy II

All stripes in a given row of fields are exposed proceeding

to the next row of fields

Field Writing Strategy I

A given stripe in all fields on the wafer is exposed before proceeding to the next stripe

Writing Strategy:Field Writing Strategies

Field Writing Strategy III

All stripes in a given field are exposed proceeding to the next

field in the column

The data path architecture can be configured for different field writing strategies.

Page 14: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 14

Data Path: In-line Rasterization

Print buffer:holds 2 image stripes (SDRAM)

Parseconvert

0.2 GB/s

Extraction & Rasterisation twice per wafer

80% eff. writing time,

Image cache:I/O bandwidth: 2.8 GB/s 34 servers (9TB)

Rasterizationsupersample

2 GPix/s

750GB(1.2TB)

525GB(800GB)

Design file:525 GB ATP800GB Max.

Onceper wafer[12 min]

Onceper Die

Onceper Lot[60 min]

Onceper Design[60... min]

Variant pixel Manipulations

250 GPix/s

Invariant pixel manipulations

2 GPix/s2 x 26 GB

To DAC’s,Amp’s &

SLM

Page 15: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 15

Data Path: Off-line Rasterization

750GB(1.2TB)

525GB(800GB)

RasterizeSupersample

0.4GPix/s

Onceper wafer[12 min]

Onceper Die

Onceper Lot[60 min]

Onceper Design[60... min]

ParseConvert0.2GB/s

Intermediate storage:IO bandwidth: 0.4 GB/s 8 servers (2TB)

Print buffer:Holds 2 shots for entire die (SDRAM)

1.4GB/s

Variant pixel Manipulations

250 GPix/s

Invariant pixel manipulations

2 GPix/s2x0.7 TB

To DAC’s,Amp’s &

SLM

Design file:525 GB ATP800GB Max.

860GB (1.4TB)

Image cache:I/O bandwidth: 1.6 GB/s 22 servers (6TB)

Page 16: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 16

Technical Challenges OML

SLM Contrast Device w Mirror variabilityw Calibrationw Manufacturability

Lasers with improved pulse-to-pulse stability and jitter performance Rasterization for different contrast device typesLogistics for seamless factory integration of OML

Page 17: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 17

Agenda

Introduction and Principles of OperationDARPA Program Activitiesw Contrast Device Test Standsw Systems Engineeringw Modeling Results

Micronic SIGMA 7300 resultsSummary and Conclusions

Page 18: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 18

Program Activities DARPA Contract Awarded to ASML, June 30, 2004

Development of calibration and imaging test standsw Characterize SLM mechanical properties, including shape, dynamic response,

flatness, height variation, repeatability, drift, etc. Test Bench 1: White Light Interferometer

w Demonstrate SLM imaging capabilities with aerial image measurements at target wavelength. Test Bench 2: SLM Calibration and Imaging Test Stand

Characterize and image multiple candidate contrast devicesw Working closely with Fraunhofer and DARPA-sponsored contrast device suppliers

Systems Engineeringw Pixel Geometry Tradeoff Study -- developing modeling tools to simulate the

lithographic imaging performance of different SLM types (e.g. tilt, piston, etc.), and the imaging impact of known imperfections

w System Requirements and Error Budgets -- developing system performance budgets to be able to place specifications on critical contrast device parameters

w Calibration and Rasterization Algorithm Development -- developing calibration and pattern generation schemes for optimizing the imaging performance of each contrast device type and incorporating low k1 imaging enhancements (e.g. off-axis illumination, OPC, etc.)

Page 19: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 19

White Light Interferometer MeasurementsZygo NewView 5000 Series System for Surface Profiling

Z resolution ~ 0.1nmLateral resolution ~ 0.5 µµµµm

Images courtesy of Zygo Corportationhttp://www.zygo.com/nv5000/nv5000.htm

Page 20: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 20

Control Software:LabVIEW 7.1 / C

IBM Workstation

Zygo White Light TesterDevice Independent Infrastructure

Frame grabber

Illumination Source

Stages

GPIB Interface

Serial port

TCP/IP Network

DUT

Camera & Interferometer

Zygo NewView 5032

ASML Computer interfaces with Zygo NewView 5032

Contrast Device Drive Electronics

Page 21: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 21

Page 22: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 22

Aerial Image Tester Optical Magnification of SLM Image

Contrast DeviceMirror Array

Image at CCD

Available magnifications are 3, 9.6 and 24 xIn the tester, SLM mirrors are not resolved at image plane. The optical design mimics the condition of a future OML tool.

Page 23: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 23

Control Software:LabVIEW 7.1 / C

IBM Workstation

Aerial Image Tester Device Independent Infrastructure

Lambda NovaLine A2010

Physik Instrumente

Frame grabber

Hamamatsu CCD

Camera & Controller 193 nm Litho Laser

XY Stage & Controller

GPIB Interface

Serial port

DUT

ASML Computer Controls laser, drives stages, collects camera images

ASML Aerial Image Tester

Contrast Device Drive Electronics

Page 24: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 24

Data Path Transfers Mirror Pattern from PC to Contrast

Device

Pattern Generator & Device Drive ElectronicsArchitecture Supports Multiple Contrast Devices

Contrast Device

Interface PCB

DUT

Pattern Generator PCB (host)

USB 2.0 Interface

FPGA

1 GByteMemory

Digital Interface

Contrast Device Driver PCB

(plug in module)

Analog O

utputsDAC Amp

Pattern Generator PCB (Host)Accepts Contrast Device Driver PCB plug-in module (customizable plug-in)Required mirror settings are downloaded over USB port and stored in 1 GByte of memoryField Programmable Gate Array (FPGA) drives 18 channels of 12 bits @ 20 Mhz (4.3 Gbps)FPGA is re-configurable via the USB port to support multiple contrast devices

Contrast Device Driver PCB (Module)150 x 150 mm CMC plug-in moduleBaseline design drives 16 analog outputs with 30V swing and 10 bit accuracy at 10-20 MHzModules will be developed as needed to drive specific contrast devicesHost / module are scalable to drive more lines by using multiple boards

1/2 meter flexible interconnect

2 meterflexible

interconnect

Page 25: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 25

Calibration and Imaging Test StandStatus

Tester Optical Design has been completed

w Mag Lenses and electronics have been designed to accommodate different SLM from Silicon Light Machines, Micronic, and Lucent Technologies.

Projection Optics Optical fabrication complete Jan 2005

Illumination Optics fabrication complete Feb 2005

Optical assembly expected completion Feb 2005

Datapath/Electronics Complete March of 2005

Integration of imaging tester complete March 2005

Testing of static contrast devices March 2005

Testing of final devices Q4 05

Page 26: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 26

Systems EngineeringImpact of SLM Imperfections

Imperfection

Mirror Reflectance

Mirror Height Variation

Mirror Flatness (Intra-Mirror)

Mirror Gap Properties

SLM Global Flatness

Impact on Imaging

Non-uniform intensity, resulting in contrast reduction, poor uniformity,

errors in CDU and overlayNon-uniform phase, resulting in

contrast reduction, poor uniformity, errors in CDU and overlay

Non-uniform intensity and phase across the mirror, resulting in contrast

reduction, poor uniformity, errors in CDU and overlay

Stray light and/or undesired interference with mirrors, resulting in

image degradation

Non-flat chip results in telecentricity effects at the wafer

Page 27: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 27

-50 nm defocus,2.25% uniformity

-25 nm defocus, 1.3% uniformity

Best focus, 0.4% uniformity

+25 nm defocus, 1.2% uniformity

+50 nm defocus,2% uniformity

Systems EngineeringHeight Variation and its Impact on the Aerial Image thru Focus

Page 28: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 28

ApplicationsSample Imaging Applications with OML

Double-dipole elbow

Isolated line exposure dose window

Memory cell

Alternating Phase Shift with Trim

OPC with Gray Scaling

Dense Contact Holes

Page 29: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 29

Applications Double Dipole Decomposition of 70 nm Elbows

Exp. 1 Exp. 2

X (nm)

Y (

nm)

Vertical Component

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

X (nm)Y

(nm

)

Horisontal Component

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

X (nm)

Y (

nm

)

Resulting Image

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

Mask + =

X (nm)Y

(nm

)

Vertical Component

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

X (nm)

Y (n

m)

Horisontal Component

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

X (nm)

Y (

nm)

Resulting Image

-400 -200 0 200 400

-400

-300

-200

-100

0

100

200

300

400

OML + =

Simulationw NA 0.93, 193 nmw Dipole, sigma

0.7/0.8/30o

w Tilt Mirror SLMw High-NA vector

unpolarized modelw No OPC

Resultsw Elbow features print the

same in mask-based and OML

w Any OPC needed is exactly the same for mask-based and OML

+Data =

Courtesy of Micronic

Page 30: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 30

220

5020

OML6% Att-PSM Reticle

Data

Applications Exposure Dose Window, 50 nm Isolated Line w/ Scatter Bars

Simulation: w NA 0.93, 193 nm, dipole illumination w Tilt Mirror SLMw High-NA vector unpolarized modelw 30 nm OML pixels (wafer scale)

• Line: 1.67 pixels wide• Scatter Bars: 0.67 pixels wide

Result: Matched Exposure Latitude with Mask-Based & OML

Page 31: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 31

Rasterized Pattern w/ OPC Optimized Illuminatonfor Improved Depth of Focus

Aerial Image Intensity thru Focus

Best Focus -50 nm de-focus -100 nm de-focus

Applications Memory Cell Gate Layer with OPC and Custom Illumination

Original Pattern

Page 32: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 32

-100 -50 0 50 1000

50

100

150

200

250

X (nm)

Hei

ght (

nm)

Res ist Cross Sections

Grid shift 0 nmGrid shift 5 nmGrid shift 10 nmGrid shift 15 nmGrid shift 20 nmGrid shift 25 nmGrid shift 30 nm

35 nm

+

Mask

+

Contrast Device

0

5

10

15

20

Hei

ght (

nm)

15 20X (nm)

w Phase-Step Tilt Mirror SLM, 30 nm wafer scale, 0.93 NA in resist

w Printed linewidth is 35 nmw Linewidth and resist cross-section is

maintained as the image is shifted through the mirror grid

Applications Alternating PSM with Binary Trim Mask

Courtesy of Micronic

Page 33: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 33

ApplicationsOptical Proximity Correction (OPC) with Gray Scaling

76543210

Mirror Tilt[mrad]

Without OPC

With OPC

Page 34: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 34

Shift = 0 nm

80 nm Half-Pitch Contact Holes

Page 35: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 35

Shift = 5 nm

80 nm Half-Pitch Contact Holes

Page 36: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 36

Shift = 10 nm

80 nm Half-Pitch Contact Holes

Page 37: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 37

Shift = 15 nm

80 nm Half-Pitch Contact Holes

Page 38: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 38

Shift = 20 nm

80 nm Half-Pitch Contact Holes

Page 39: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 39

Shift = 20 nm

80 nm Half-Pitch Contact Holes

Grayscaling makes aerial image

independent of grid position

Page 40: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 40

Agenda

Introduction and Principles of OperationDARPA Program Activitiesw Contrast Device Test Standsw Systems Engineeringw Modeling Results

SLM based Printing Results: Micronic SIGMA 7300 Summary and Conclusions

Page 41: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 41

Micronic Sigma7300

SLM-based mask writer for 65 and 45 nm reticles

Page 42: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 42

Micronic Sigma7300Second generation SLM-based mask writer

Status January 2005Product development finalizedβ-shipment late 2003Field evaluation completed at major mask shop. System selected.Shipping to customers

Major application spaceQuick turn-around and cost-effective production of 65 nm and 45 nm node reticlesInterconnect layers (manhattan & X-design)2nd level printing of advanced PSM (alt-PSM, CPL)

150 nm denseon mask

150 nm spaceon mask

Page 43: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 43

3-hour 6” reticle write time (using four exposure passes)

Independent of design and OPC (>100 Gb mask data volume)

Throughput

FPGASupports 2 Gpixel/secOn-line pattern accuracy

enhancements, e.g. Corner Enhancement (CE)

Data channel

0.82 NA200x de-magnification

Optics

One SLM Gen. 2B512 x 2048 mirrors16 x 16 µm Al alloy mirrorLifetime ~6 months (24/7 op.)

SLM

KrF (248nm), 2 kHz excimerLaser

Sigma7300 Technical Data

SLM chip module in Sigma7300

16x16 µm Al alloy micro mirrors

Page 44: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 44

Gray pixel data in pass #1

SEM image Sigma7300 exposureCAD data

Corner Enhancement (CE)

Gray scale enhancements at corners for increased pattern fidelityLine-end shortening, corner pullback and OPC fidelity match 50 keV VSBPattern matching to 1st level for 2nd level printing of advanced PSMOn-line enhancement in FPGA Adjustment ProcessorNo throughput penalty

Page 45: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 45

SEM image Sigma7300 exposure

Gray pixel data in pass #1CAD data

Corner Enhancement (CE)

Gray scale enhancements at corners for increased pattern fidelityLine-end shortening, corner pullback and OPC fidelity match 50 keV VSBPattern matching to 1st level for 2nd level printing of advanced PSMOn-line enhancement in FPGA Adjustment ProcessorNo throughput penalty

Page 46: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 46

Throughput

0123456789

10

4-pass 4-pass with CE 3-pass 2-pass

Tota

l job

tim

e (h

ours

) Total mask write times, including overhead, in different write modesTypical 90-nm node metal layer reticle

Write time in high-quality mode (4-pass) is typically 3 hours

Throughput only depends on the mask layout

Independent of pattern design and OPC (>100 Gb mask data volume)

3-pass or 2-pass write modes for looser mask requirements. Same resolution and address unit as in 4-pass mode.

Non-critical patterns, e.g. text and barcodes, printed with 1-pass

Mask layout

Page 47: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 47

Performance on Mask

Resolutionw Min. dark assist line 130 nm

w Min. clear assist line 170 nm

w CD linearity, iso space <10 nm (range), 200-1500 nm

w CD linearity, contact <10 nm (range), 350-1500 nmCD uniformityw Global (132x132 mm) <7 nm (3σ)

w Local <5 nm (3σ)Registration w Global (140x140 mm) <12 nm (3σ)

w Local <7 nm (3σ)Alignment system for PSMw Layer to layer overlay <20 nm (mean+3σ)

Page 48: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 48

CD LinearityCD LinearityIsolated lines and spaces<10 nm (range) [200-1500nm]

Page 49: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 49

Global CD Uniformity132x132 mm. Composite 260 nm isolated spaces.

X Y

260 nmLinewidth3-sigmaRange/2

6,25,7

5 nm

- 5 nm

260 nmLinewidth3-sigmaRange/2

5,05,0

5 nm

- 5 nm

Page 50: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 50

Any Angle Performance

480

490

500

510

520

0 30 60 90 120

150

180

210

240

270

300

330

360

Angle (Deg)

CD

(nm

)

Good performance for X-designAngular CD variationw 4.4 nm (0,45,90,135 degree)w 5.5 nm (any angle)

CDU and LER almost independent of pattern orientationThroughput independent of pattern orientation

Page 51: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 51

0

10

20

30

40

50

60

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49

Plate # (May-Oct. 2004)

Lay

er t

o l

ayer

ove

rlay

(n

m)

Y 3s

Y Mean

Second Layer Alignment for PSMSigma7300 PSM alignment monitor plate, May-October 2004.

0

10

20

30

40

50

60

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49

Plate # (May-Oct. 2004)

Lay

er t

o l

ayer

ove

rlay

(n

m)

X 3s

X Mean

Page 52: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 52

Conclusions

Sigma7300, a second generation SLM-based mask writer, is shipping to customers

Performance on mask meets or exceeds expectations

Field evaluation completed at major mask shop. System selected.

Major application space:w 65 and 45 nm interconnect layer reticlesw Second layer printing of advanced PSM (AAPSM, CPL)

Page 53: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 53

Agenda

Introduction and Principles of OperationDARPA Program Activitiesw Contrast Device Test Standsw Systems Engineeringw Modeling Results

SLM based Printing Results: Micronic SIGMA 7300Summary and Conclusions

Page 54: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 54

Summary OML Advantages

Save money on mask costsImprove time to market for prototype, low-volume, and medium-volume wafer runsw Fab transparency with the same lithographic processes l, NA,

resists, (OPC)

Enable strong-phase shift applications that are impossible or prohibitively expensive with masksMake Engineering and Development easier w Enable more characterization tests for processes / design librariesw Evaluate alternative designs and design iterations in resist

Page 55: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 55

Current Wafer Fab

All wafers

All designs

All reticles All output wafers

Regular scanners

Page 56: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 56

Vision on Future Wafer Fab

Most wafers

Regular scanners

High volume designs

Few reticles High volume wafers

Maskless scanners

Low volume and design

prototype wafers

New and Low-Volume and Medium-Volume Designs

Few wafersNew designs

Page 57: Optical Maskless Lithography (OML) - · PDF filew Reduced cost of introduction and faster time-to-market for new designs ... et al. Micronic Laser Systems. ... SLM Global Flatness

/ Slide 57

ConclusionsASML is actively investigating OML as lower-NRE, more flexible alternative to mask-based lithography forw Lower cost and faster design verification in silicon

w Lower cost low-volume production of ASICs and SOCs

Micronic SIGMA 7300 results proves SLM based printing

The SLM for a 5-wph 65/45nm OML Scanner is actively addressed through US (DARPA) and European cooperation w Supporting mask-equivalent 65/45nm imaging performance

ASML Views “FAB Transparency” as a key advantage of OML

Acknowledgementsw This work is partly sponsored by DARPA under Contract # N66001-04-

C-8027


Recommended