+ All Categories
Home > Documents > [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP,...

[XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP,...

Date post: 29-Mar-2018
Category:
Upload: vulien
View: 236 times
Download: 1 times
Share this document with a friend
162
ME ID Manufacturer 9168 Accretech/TSK 6728 ADE Corporation 7168 Advanced Metrology Systems LLC (AMS) 7169 Advanced Metrology Systems LLC (AMS) 8121 Advanced Metrology Systems LLC (AMS) 8303 Advanced Metrology Systems LLC (AMS) 4187 Advanced Metrology Systems LLC (AMS) 8953 Advantest 8737 Advantest 8696 Advantest 8698 Advantest 9233 Advantest 9234 Advantest 8874 Advantest 9229 Advantest 9230 Advantest 9231 Advantest 7637 Advantest 8697 Advantest 8740 Advantest 5046 Aehr Test Systems 5047 Aehr Test Systems 8575 Alcatel 8576 Alcatel 8577 Alcatel 8579 Alcatel 8580 Alcatel 8581 Alcatel 8602 Alcatel 8603 Alcatel This equipment list is provided to you on a strictly confidential basis and con warrant the completeness or accuracy of the information contained herein. Any
Transcript
Page 1: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ME ID Manufacturer9168 Accretech/TSK6728 ADE Corporation7168 Advanced Metrology Systems LLC (AMS)7169 Advanced Metrology Systems LLC (AMS)8121 Advanced Metrology Systems LLC (AMS)8303 Advanced Metrology Systems LLC (AMS)4187 Advanced Metrology Systems LLC (AMS)8953 Advantest8737 Advantest8696 Advantest8698 Advantest9233 Advantest9234 Advantest8874 Advantest9229 Advantest9230 Advantest9231 Advantest7637 Advantest8697 Advantest8740 Advantest5046 Aehr Test Systems5047 Aehr Test Systems8575 Alcatel8576 Alcatel8577 Alcatel8579 Alcatel8580 Alcatel8581 Alcatel8602 Alcatel8603 Alcatel

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not warrant the completeness or accuracy of the information contained herein. Any offer by you to purchase of the equipment described in this equipment list shall be subject to our standard terms and conditions of sale.

Page 2: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8604 Alcatel8822 Alum-a-Lift8821 Alum-a-Lift8820 Alum-a-Lift8977 Applied Materials7163 Applied Materials7165 Applied Materials7166 Applied Materials6185 Applied Materials6186 Applied Materials6187 Applied Materials6188 Applied Materials1943 Applied Materials3725 Applied Materials3727 Applied Materials3854 Applied Materials3855 Applied Materials3992 Applied Materials8634 Applied Materials8635 Applied Materials8633 Applied Materials8636 Applied Materials3758 Applied Materials8893 Applied Materials8897 Applied Materials9202 Applied Materials6155 Applied Materials6156 Applied Materials6157 Applied Materials6158 Applied Materials6159 Applied Materials8266 Applied Materials8267 Applied Materials5861 Applied Materials5862 Applied Materials5842 Applied Materials

Page 3: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

5849 Applied Materials5853 Applied Materials5854 Applied Materials5856 Applied Materials5859 Applied Materials6342 Applied Materials6478 Applied Materials6479 Applied Materials8264 Applied Materials8265 Applied Materials5846 Applied Materials8263 Applied Materials8735 Applied Materials8837 Applied Materials8838 Applied Materials9024 Applied Materials9232 Applied Materials6160 Applied Materials6161 Applied Materials6162 Applied Materials6194 Applied Materials6196 Applied Materials8268 Applied Materials6189 Applied Materials6190 Applied Materials6191 Applied Materials6192 Applied Materials6193 Applied Materials6195 Applied Materials6197 Applied Materials6198 Applied Materials6199 Applied Materials6200 Applied Materials6201 Applied Materials6202 Applied Materials6203 Applied Materials

Page 4: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6204 Applied Materials6205 Applied Materials6206 Applied Materials6207 Applied Materials6208 Applied Materials8679 Applied Materials8680 Applied Materials8681 Applied Materials8682 Applied Materials8683 Applied Materials8684 Applied Materials8685 Applied Materials8686 Applied Materials2061 Applied Materials4011 Applied Materials8663 Applied Materials

215 Applied Materials3791 Applied Materials8825 Applied Materials4178 Applied Materials4058 Applied Materials4192 Applied Materials4193 Applied Materials2058 Applied Materials2059 Applied Materials8982 Applied Materials3754 Applied Materials3756 Applied Materials1897 Applied Materials1898 Applied Materials8553 Applied Materials2353 Applied Materials8358 Applied Materials3070 Applied Materials9026 Applied Materials9027 Applied Materials

Page 5: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

9028 Applied Materials9073 Applied Materials9110 Applied Materials6209 Applied Materials6210 Applied Materials6211 Applied Materials8707 Applied Materials8708 Applied Materials9103 Applied Materials8981 Applied Materials9186 Applied Materials2361 Applied Materials6774 Applied Materials8605 Applied Materials8741 Applied Materials8847 Applied Materials8978 Applied Materials9039 Applied Materials9102 Applied Materials8415 Applied Materials8416 Applied Materials8417 Applied Materials8418 Applied Materials8419 Applied Materials8420 Applied Materials8987 Applied Materials9215 Applied Materials8718 Applied Materials8826 Applied Materials8839 Applied Materials8954 Applied Materials8646 Applied Materials8797 Applied Materials8899 Applied Materials8306 ASM International8307 ASM International

Page 6: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8703 ASM International9004 ASM International8725 ASM Lithography, Inc.8726 ASM Lithography, Inc.6756 ASM Lithography, Inc.8500 ASM Lithography, Inc.8371 ASM Lithography, Inc.8498 ASM Lithography, Inc.7170 ASM Lithography, Inc.8796 ASM Lithography, Inc.9060 ASM Lithography, Inc.8669 ASM Lithography, Inc.6143 Asyst Technologies, Inc.6740 Asyst Technologies, Inc.5823 Aviza Technology, Inc.5822 Aviza Technology, Inc.6733 Axcelis Technologies Inc.8815 Axcelis Technologies Inc.8816 Axcelis Technologies Inc.8503 Axcelis Technologies Inc.8505 Axcelis Technologies Inc.2265 Axcelis Technologies Inc.7190 Axcelis Technologies Inc.7191 Axcelis Technologies Inc.7192 Axcelis Technologies Inc.7963 Axcelis Technologies Inc.7964 Axcelis Technologies Inc.8648 Axcelis Technologies Inc.8900 Axcelis Technologies Inc.9203 Axcelis Technologies Inc.9135 Blue M Electric Company9136 Blue M Electric Company6585 Brooks Automation, Inc.6586 Brooks Automation, Inc.7012 Brooks Automation, Inc.7013 Brooks Automation, Inc.

Page 7: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7014 Brooks Automation, Inc.7015 Brooks Automation, Inc.7064 Brooks Automation, Inc.7065 Brooks Automation, Inc.7066 Brooks Automation, Inc.7067 Brooks Automation, Inc.7068 Brooks Automation, Inc.7069 Brooks Automation, Inc.7070 Brooks Automation, Inc.7071 Brooks Automation, Inc.7072 Brooks Automation, Inc.7073 Brooks Automation, Inc.5995 Brooks Automation, Inc.5996 Brooks Automation, Inc.5997 Brooks Automation, Inc.5998 Brooks Automation, Inc.7060 Brooks Automation, Inc.7061 Brooks Automation, Inc.7062 Brooks Automation, Inc.7063 Brooks Automation, Inc.9066 Browne & Sharpe8632 CAMECA6716 Carl Zeiss Group8996 Carl Zeiss Group8995 Carl Zeiss Group9059 Cascade9067 Cincinnati Machines9033 CR Technology9034 CR Technology7748 Creative Design Engineering, Inc8969 CyberOptics Corporation8988 Dainippon Screen Mfg. Co.3633 Dainippon Screen Mfg. Co.

517 Dainippon Screen Mfg. Co.8999 Dainippon Screen Mfg. Co.9000 Dainippon Screen Mfg. Co.

Page 8: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

9056 Dainippon Screen Mfg. Co.9057 Dainippon Screen Mfg. Co.8997 Dainippon Screen Mfg. Co.8998 Dainippon Screen Mfg. Co.9054 Dainippon Screen Mfg. Co.9055 Dainippon Screen Mfg. Co.8521 Dainippon Screen Mfg. Co.8522 Dainippon Screen Mfg. Co.8523 Dainippon Screen Mfg. Co.8524 Dainippon Screen Mfg. Co.8525 Dainippon Screen Mfg. Co.8526 Dainippon Screen Mfg. Co.8527 Dainippon Screen Mfg. Co.8528 Dainippon Screen Mfg. Co.8529 Dainippon Screen Mfg. Co.6001 Dainippon Screen Mfg. Co.8840 Dainippon Screen Mfg. Co.8898 Dainippon Screen Mfg. Co.9223 DEK USA Inc.9224 DEK USA Inc.9170 Disco Hi-Tec9171 Disco Hi-Tec9172 Disco Hi-Tec9173 Disco Hi-Tec9174 Disco Hi-Tec9070 Disco Hi-Tec9044 Dynamic Micro Systems4878 Ebara4879 Ebara4883 Ebara4889 Ebara4890 Ebara4898 Ebara4899 Ebara4900 Ebara4901 Ebara

Page 9: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

4908 Ebara4909 Ebara4913 Ebara4914 Ebara4915 Ebara4916 Ebara4922 Ebara4927 Ebara4928 Ebara4929 Ebara4944 Ebara4949 Ebara4950 Ebara4953 Ebara4957 Ebara4964 Ebara4965 Ebara4972 Ebara4973 Ebara4974 Ebara4975 Ebara4994 Ebara4995 Ebara5553 Ebara5554 Ebara5558 Ebara5562 Ebara5566 Ebara5597 Ebara5598 Ebara6580 Ebara6581 Ebara6803 Ebara6804 Ebara6808 Ebara6809 Ebara

Page 10: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6813 Ebara6814 Ebara6818 Ebara6819 Ebara6840 Ebara6841 Ebara6845 Ebara6846 Ebara6850 Ebara6851 Ebara6856 Ebara6860 Ebara6861 Ebara6865 Ebara6866 Ebara7462 Ebara7464 Ebara7465 Ebara7491 Ebara7492 Ebara7610 Ebara7611 Ebara7616 Ebara7751 Ebara5014 Ebara4984 Ebara4985 Ebara4986 Ebara4987 Ebara4988 Ebara4990 Ebara4992 Ebara4993 Ebara5003 Ebara5010 Ebara5011 Ebara

Page 11: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

5016 Ebara5017 Ebara6247 Ebara6248 Ebara6251 Ebara6252 Ebara6258 Ebara6260 Ebara6261 Ebara6262 Ebara6278 Ebara7498 Ebara7836 Ebara7839 Ebara7840 Ebara7841 Ebara5000 Ebara5001 Ebara5004 Ebara5005 Ebara5006 Ebara5007 Ebara5008 Ebara5018 Ebara5021 Ebara5022 Ebara7448 Ebara7449 Ebara7450 Ebara7458 Ebara7459 Ebara7460 Ebara7461 Ebara7466 Ebara7467 Ebara7470 Ebara

Page 12: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7471 Ebara7472 Ebara7474 Ebara7475 Ebara7476 Ebara7481 Ebara7482 Ebara7483 Ebara7486 Ebara7487 Ebara7488 Ebara7493 Ebara7494 Ebara7495 Ebara7496 Ebara7497 Ebara7833 Ebara7834 Ebara7835 Ebara7837 Ebara7838 Ebara4912 Ebara4918 Ebara4919 Ebara4920 Ebara4924 Ebara4925 Ebara4932 Ebara4951 Ebara4952 Ebara4954 Ebara4955 Ebara4956 Ebara4959 Ebara4960 Ebara4969 Ebara

Page 13: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

4971 Ebara4997 Ebara5547 Ebara5548 Ebara5551 Ebara5552 Ebara5555 Ebara5556 Ebara5559 Ebara5563 Ebara5564 Ebara5572 Ebara5575 Ebara5576 Ebara5579 Ebara5588 Ebara5595 Ebara6498 Ebara6501 Ebara6504 Ebara6507 Ebara6582 Ebara6583 Ebara6801 Ebara6802 Ebara6806 Ebara6807 Ebara6811 Ebara6812 Ebara6816 Ebara6817 Ebara6821 Ebara6822 Ebara6823 Ebara6824 Ebara6825 Ebara

Page 14: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6826 Ebara6829 Ebara6832 Ebara6842 Ebara6843 Ebara6844 Ebara6847 Ebara6848 Ebara6849 Ebara6852 Ebara6854 Ebara6855 Ebara6857 Ebara6858 Ebara6859 Ebara6862 Ebara6863 Ebara6864 Ebara6867 Ebara6868 Ebara6869 Ebara7457 Ebara7612 Ebara7613 Ebara7614 Ebara7617 Ebara7618 Ebara7619 Ebara7463 Ebara7468 Ebara7469 Ebara7473 Ebara7477 Ebara7478 Ebara7479 Ebara7480 Ebara

Page 15: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7484 Ebara7485 Ebara7489 Ebara7490 Ebara6465 Ebara6480 Ebara6481 Ebara6482 Ebara6483 Ebara6484 Ebara6485 Ebara7219 Ebara7220 Ebara7221 Ebara7222 Ebara8549 Ebara8063 Ebara9119 Ebara8965 Edlon8966 Edlon8967 Edlon8968 Edlon8957 Edwards High Vacuum International8958 Edwards High Vacuum International8959 Edwards High Vacuum International8960 Edwards High Vacuum International8961 Edwards High Vacuum International8962 Edwards High Vacuum International9179 Edwards High Vacuum International9180 Edwards High Vacuum International5965 Electro Scientific Industries5966 Electro Scientific Industries8449 ELES Semiconductor8455 ELES Semiconductor9025 ELES Semiconductor9100 EV Group

Page 16: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

9104 EV Group8883 FEI Company7659 Filtrine7660 Filtrine7661 Filtrine9216 Fluoroware Inc.8374 Fusion Semiconductor Systems8375 Fusion Semiconductor Systems8502 Fusion Semiconductor Systems8504 Fusion Semiconductor Systems3729 GaSonics International3730 GaSonics International2038 GaSonics International2075 GaSonics International2085 GaSonics International3713 GaSonics International3716 GaSonics International3735 GaSonics International3737 GaSonics International8860 GaSonics International3712 GaSonics International8759 GeMeTec8462 Genesis8784 GL Automation8787 GL Automation8788 GL Automation8789 GL Automation9140 GL Automation9141 GL Automation9142 GL Automation9143 GL Automation9144 GL Automation9145 GL Automation9146 GL Automation9147 GL Automation9148 GL Automation

Page 17: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

9149 GL Automation2157 Hitachi (Semiconductor)8561 Hitachi (Semiconductor)8562 Hitachi (Semiconductor)8563 Hitachi (Semiconductor)8564 Hitachi (Semiconductor)8565 Hitachi (Semiconductor)8566 Hitachi (Semiconductor)4450 Hitachi Kokusai Electric Inc.4451 Hitachi Kokusai Electric Inc.6413 IMS6720 JEOL9022 Keithley Instruments, Inc.9036 Kinetic Systems

368 KLA-Tencor Corp.2109 KLA-Tencor Corp.8551 KLA-Tencor Corp.9118 KLA-Tencor Corp.6779 KLA-Tencor Corp.6742 KLA-Tencor Corp.9106 KLA-Tencor Corp.6575 KLA-Tencor Corp.8902 KLA-Tencor Corp.8903 KLA-Tencor Corp.3638 KLA-Tencor Corp.4004 KLA-Tencor Corp.8905 KLA-Tencor Corp.8907 KLA-Tencor Corp.7198 KLA-Tencor Corp.7226 KLA-Tencor Corp.8229 KLA-Tencor Corp.8552 KLA-Tencor Corp.9125 KLA-Tencor Corp.6778 KLA-Tencor Corp.9139 KLA-Tencor Corp.9199 KLA-Tencor Corp.

Page 18: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8559 KLA-Tencor Corp.8567 KLA-Tencor Corp.8568 KLA-Tencor Corp.8652 KLA-Tencor Corp.8935 KLA-Tencor Corp.9075 KLA-Tencor Corp.8984 KLA-Tencor Corp.8985 KLA-Tencor Corp.8747 KLA-Tencor Corp.8746 KLA-Tencor Corp.9178 KLA-Tencor Corp.3825 KLA-Tencor Corp.8700 KLA-Tencor Corp.1918 KLA-Tencor Corp.2220 KLA-Tencor Corp.8315 KLA-Tencor Corp.9123 KLA-Tencor Corp.9163 KLA-Tencor Corp.8975 KLA-Tencor Corp.3283 KLA-Tencor Corp.9124 KLA-Tencor Corp.6734 Kojair9016 Kokusai Electric Co., Ltd.8991 LAM Research8992 LAM Research9045 LAM Research3604 LAM Research7200 LAM Research8206 LAM Research8301 LAM Research8550 LAM Research8601 LAM Research8638 LAM Research

349 LAM Research8230 LAM Research8538 LAM Research

Page 19: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8637 LAM Research4864 LAM Research8640 LAM Research8639 LAM Research8641 LAM Research8644 LAM Research8645 LAM Research1551 LAM Research2077 LAM Research2078 LAM Research8642 LAM Research8643 LAM Research8892 Lasertec Corporation6738 LeCroy4194 Leica Inc.4197 Leica Inc.8313 Leica Inc.8704 Leica Inc.8976 Leica Inc.6715 Leica Inc.9040 Lintec9006 Manufacturing Technology Inc (MTI)1865 Mattson Technology, Inc.3851 Mattson Technology, Inc.3856 Mattson Technology, Inc.3859 Mattson Technology, Inc.1693 Mattson Technology, Inc.1694 Mattson Technology, Inc.1695 Mattson Technology, Inc.6025 Mattson Technology, Inc.3862 Mattson Technology, Inc.3863 Mattson Technology, Inc.5870 Mattson Technology, Inc.5871 Mattson Technology, Inc.5872 Mattson Technology, Inc.5873 Mattson Technology, Inc.

Page 20: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

3284 Mattson Technology, Inc.8319 Metryx8710 Metryx9175 METSO9068 Moore Precision Tools8890 Muratec Murata Machinery, Ltd.6579 Neslab6805 Neslab6810 Neslab6815 Neslab6820 Neslab9007 Nextest Systems Corporation8872 Nordson Asymtek8658 Nova Measuring Instruments, Inc.9061 Nova Measuring Instruments, Inc.9062 Nova Measuring Instruments, Inc.9063 Nova Measuring Instruments, Inc.9064 Nova Measuring Instruments, Inc.9065 Nova Measuring Instruments, Inc.6146 Novellus Systems Inc.6147 Novellus Systems Inc.6148 Novellus Systems Inc.6150 Novellus Systems Inc.8776 Novellus Systems Inc.8790 Novellus Systems Inc.3126 Novellus Systems Inc.8800 Novellus Systems Inc.9105 Novellus Systems Inc.

429 Novellus Systems Inc.7947 Novellus Systems Inc.7428 Novellus Systems Inc.7429 Novellus Systems Inc.7430 Novellus Systems Inc.7432 Novellus Systems Inc.7818 Novellus Systems Inc.7819 Novellus Systems Inc.

Page 21: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7820 Novellus Systems Inc.7821 Novellus Systems Inc.7431 Novellus Systems Inc.7201 Novellus Systems Inc.7202 Novellus Systems Inc.

219 Novellus Systems Inc.7948 Novellus Systems Inc.9090 Novellus Systems Inc.8895 OI Analytical9017 Olympus9018 Olympus9019 Olympus9020 Olympus9021 Olympus8570 Olympus9072 OnTrak Systems, Inc.7989 OnTrak Systems, Inc.9099 Oyo Electric Co., Ltd6955 Perkin Elmer3703 Poly-Flow Engineering8660 Process Engineering9133 Progressive System Technologies (PST)9134 Progressive System Technologies (PST)9206 Progressive System Technologies (PST)9207 Progressive System Technologies (PST)9208 Progressive System Technologies (PST)9209 Progressive System Technologies (PST)9210 Progressive System Technologies (PST)9217 Progressive System Technologies (PST)9220 Progressive System Technologies (PST)9130 Progressive System Technologies (PST)9131 Progressive System Technologies (PST)9211 Progressive System Technologies (PST)9132 Progressive System Technologies (PST)9221 Progressive System Technologies (PST)2389 Raytex Corporation

Page 22: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

3646 Rigaku6775 Rigaku6454 Rofin / AB Lasers8819 Rubicon Technology8852 Rudolph Technologies, Inc.7228 Rudolph Technologies, Inc.8705 Rudolph Technologies, Inc.9116 Rudolph Technologies, Inc.9042 Santa Clara Plastics5992 SEMES Co., Ltd5993 SEMES Co., Ltd5994 SEMES Co., Ltd4373 Semiconductor Diagnostics, Inc.515651578318 Seminet Automation6735 Semitool Inc.6736 Semitool Inc.6737 Semitool Inc.7203 SEZ Group7205 SEZ Group7206 SEZ Group8299 SEZ Group8300 SEZ Group9115 SEZ Group8678 Shibuya Kogyo Co., Ltd.8480 Shibuya Kogyo Co., Ltd.

80 SMC81 SMC

6403 Solid State Equipment Corporation6732 Solid State Measurement, Inc.5815 Spansion1857 Steag Industrie AG1976 Steag Industrie AG2012 Steag Industrie AG2029 Steag Industrie AG

Semiconductor Technologies & Instruments Pte Ltd (STI)Semiconductor Technologies & Instruments Pte Ltd (STI)

Page 23: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

2090 Steag Industrie AG4102 Steag Industrie AG4106 Steag Industrie AG4107 Steag Industrie AG4112 Steag Industrie AG4115 Steag Industrie AG8752 Steag Industrie AG8753 Steag Industrie AG4630 SYNAX4631 SYNAX4632 SYNAX8228 Teradyne, Inc.5522 Teradyne, Inc.5523 Teradyne, Inc.5524 Teradyne, Inc.5525 Teradyne, Inc.5526 Teradyne, Inc.5527 Teradyne, Inc.5528 Teradyne, Inc.9097 Teradyne, Inc.7954 Teradyne, Inc.7955 Teradyne, Inc.2416 Therma-Wave Inc.8986 Therma-Wave Inc.9222 Thermo Electron9196 Thermo Electron6777 Tokyo Electron Ltd.1597 Tokyo Electron Ltd.1700 Tokyo Electron Ltd.1847 Tokyo Electron Ltd.1902 Tokyo Electron Ltd.1934 Tokyo Electron Ltd.1965 Tokyo Electron Ltd.3913 Tokyo Electron Ltd.7650 Tokyo Electron Ltd.7651 Tokyo Electron Ltd.

Page 24: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7652 Tokyo Electron Ltd.7653 Tokyo Electron Ltd.7654 Tokyo Electron Ltd.

355 Tokyo Electron Ltd.1736 Tokyo Electron Ltd.1957 Tokyo Electron Ltd.1958 Tokyo Electron Ltd.7658 Tokyo Electron Ltd.7945 Tokyo Electron Ltd.7946 Tokyo Electron Ltd.1702 Tokyo Electron Ltd.1703 Tokyo Electron Ltd.3094 Tokyo Electron Ltd.8543 Tokyo Electron Ltd.1740 Tokyo Electron Ltd.7436 Tokyo Electron Ltd.3926 Tokyo Electron Ltd.3927 Tokyo Electron Ltd.7822 Tokyo Electron Ltd.7823 Tokyo Electron Ltd.7824 Tokyo Electron Ltd.8662 Tokyo Electron Ltd.8858 Tokyo Electron Ltd.8878 Tokyo Electron Ltd.8879 Tokyo Electron Ltd.8490 Tokyo Electron Ltd.8877 Tokyo Electron Ltd.3874 Tokyo Electron Ltd.3875 Tokyo Electron Ltd.3876 Tokyo Electron Ltd.3877 Tokyo Electron Ltd.8801 Tokyo Electron Ltd.8803 Tokyo Electron Ltd.9228 Tokyo Electron Ltd.8670 Tokyo Electron Ltd.5972 Tokyo Electron Ltd.

Page 25: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

5973 Tokyo Electron Ltd.5975 Tokyo Electron Ltd.8298 Tokyo Electron Ltd.8316 Tokyo Electron Ltd.8694 Tokyo Electron Ltd.8706 Tokyo Electron Ltd.5860 Tokyo Electron Ltd.8132 Tokyo Electron Ltd.8739 Tokyo Electron Ltd.9235 Tokyo Electron Ltd.7952 Tokyo Electron Ltd.6776 Tokyo Electron Ltd.8492 Tokyo Electron Ltd.8493 Tokyo Electron Ltd.8711 Tokyo Electron Ltd.8712 Tokyo Electron Ltd.8713 Tokyo Electron Ltd.8854 Tokyo Electron Ltd.9227 Tokyo Electron Ltd.1561 Tokyo Electron Ltd.2024 Tokyo Electron Ltd.2070 Tokyo Electron Ltd.4050 Tokyo Electron Ltd.4059 Tokyo Electron Ltd.8508 Tokyo Electron Ltd.8509 Tokyo Electron Ltd.8515 Tokyo Electron Ltd.8396 Tokyo Electron Ltd.8511 Tokyo Electron Ltd.8512 Tokyo Electron Ltd.8513 Tokyo Electron Ltd.8514 Tokyo Electron Ltd.8751 Tokyo Electron Ltd.5866 Tokyo Electron Ltd.5868 Tokyo Electron Ltd.5970 Tokyo Electron Ltd.

Page 26: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6476 Tokyo Electron Ltd.6477 Tokyo Electron Ltd.7213 Tokyo Electron Ltd.7214 Tokyo Electron Ltd.8901 Tokyo Electron Ltd.8486 Towa Corporation9096 Trioptics, GmbH9098 Trioptics, GmbH9052 Ultratech Stepper8887 Ultratech Stepper8807 Ulvac Corporation8989 US Stoneware9157 US Stoneware9158 US Stoneware9159 US Stoneware9160 US Stoneware9161 US Stoneware9154 US Stoneware9155 US Stoneware9156 US Stoneware1573 Ushio8885 Varian Semiconductor Equipment Associates8889 Varian Semiconductor Equipment Associates7215 Veeco Instruments Inc.7216 Veeco Instruments Inc.4077 Veeco Instruments Inc.8891 Veeco Instruments Inc.9023 Veeco Instruments Inc.9112 Veeco Instruments Inc.9113 Veeco Instruments Inc.8277 Verigy (Agilent)7021 Verigy (Agilent)7026 Verigy (Agilent)7028 Verigy (Agilent)7029 Verigy (Agilent)7030 Verigy (Agilent)

Page 27: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

7031 Verigy (Agilent)4653 Verigy (Agilent)5531 Verigy (Agilent)9166 Vincent Vacuum-Tech9167 Vincent Vacuum-Tech9107 Zygo

Page 28: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Macquarie Electronics Inventory

ModelA-WD-5000AAFS-3220IR3000IR3000IR3000IR3000IR3100M6751ADT5375/T5377 - Wafer Probe Mother BoardT5377T5377T5377T5377T5377ST5377ST5377ST5377ST5383T5383T5581HMTX-Fp+MTX-Fp+ADS 1202HADS 1202HADS 1202HADS 1202HADS 1202HADS 1202HADS 1202HADS 1202H

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not warrant the completeness or accuracy of the information contained herein. Any offer by you to purchase of the equipment described in this equipment list shall be subject to our standard terms and conditions of sale.

Page 29: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ADS 1202HA200-60A200-72A800-96AERA2+Centura 4.0 eMaxCentura 4.0 eMaxCentura 4.0 eMaxCentura 4.0 PolycideCentura 4.0 PolycideCentura 4.0 PolycideCentura 4.0 PolycideCentura 5200 DxZCentura 5200 DxZCentura 5200 DxZCentura 5200 eMxP+Centura 5200 eMxP+Centura 5200 MxPCentura 5200 MxPCentura 5200 MxPCentura 5200 MxP+Centura 5200 MxP+Centura 5200 WxZCentura ACP RP EPICentura ACP RP EPICentura ACP RP EPICentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP DPS II PolysiliconCentura AP eMax CT

Page 30: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Centura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CTCentura AP eMax CT+Centura AP eMax CT+Centura AP EnablerCentura AP EnablerCentura AP EnablerCentura AP EnablerCentura AP EnablerCentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART III

Page 31: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Centura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP HART IIICentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura AP Ultima XCentura DPS Poly R1Centura DPS Poly R1Centura DPS Poly R1Centura II DPS Poly R1Centura II DPS Poly R1Centura II DPS+ PolyCentura II SUPER-ECentura SUPER-ECentura SUPER-ECentura SUPER-ECentura SUPER-E, Mxp PolyCentura SUPER-E, Mxp PolyCentura TPCC XE+Centura Ultima TECentura Ultima TECompass ProCompass ProComPLUS 4TComPLUS MPComPLUS MPEndura - ALD ChamberEndura HP 5500Endura HP 5500

Page 32: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Endura HP 5500Endura HP 5500Endura HP 5500Endura II ChamberEndura II ChamberEndura II ChamberEndura II Front-End MetallizationEndura II Liner/BarrierEndura VHP 5500MirraMirraNanoSEM 3DNanoSEM 3DNanoSEM 3DNanoSEM 3DNanoSEM 3DNanoSEM 3DP-5000 Mark IIP-5000 MxPProducer S APFProducer S APFProducer S APFProducer S APFProducer S APFProducer S APFProducer S PECVD TEOSProducer S PECVD TEOSProducer SEProducer SE BD/BLOk Low k DielectricQuantum X PlusRadianceRadiancePlusSEMVision G2 STAR SeriesVeritySEM 3A412A412

Page 33: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A412A412PAS 5500/400DPAS 5500/400DPAS 5500/700DPAS 5500/700DPAS 5500/750EPAS 5500/750ETWINSCAN AT:1200DTWINSCAN XT:1400ETWiNSCAN XT:1700iTWINSCAN XT:1950GiLPT 2200LPT 2200CelsiorPantheonCompact IIFusion ES3iFusion ES3iGemini GPLGemini GPLGSD/200E2HC3HC3HC3HC3HC3HC3Summit 300XTSummit 300XTDCC-1406-G-MP350DCC-1406-G-MP350ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800

Page 34: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED 3800ERGOSPEED IIERGOSPEED IIERGOSPEED IIERGOSPEED IIERGOSPEED IIERGOSPEED IIERGOSPEED IIERGOSPEED II618LEXFAB-300Axiotron UVLeo Gemini 1540XBLeo Gemini Ultra 55PA200DS BlueRay261-16CRX-1000CRX-1000ResMap 463-FOUPSE 300AS-2000FC-3100MP-2000SC-80BW-AVSC-80BW-AV

Page 35: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

SC-80BW-AVSC-80BW-AVSD-80BW-AVPFSD-80BW-AVPFSD-80BW-AVPFSD-80BW-AVPFSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-80BW-ARSS-W80A-ASU-3000SU-3000Infintiy ApiInfintiy ApiDFD640DFD640DFD640DFD640DFD640DFL71602090A10SA10SA10SA10SA10SA10SA10SA10SA10S

Page 36: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10S

Page 37: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA10SA150-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-M

Page 38: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-MA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-T

Page 39: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA150W-TA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30W

Page 40: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30W

Page 41: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

A30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WA30WAA10AA10AA10AA10AA10AA10AA10AA10

Page 42: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

AA10AA10AA10AA10ET600WSFREX300FREX300FREX300FREX300FREX300FREX300FREX300FREX300FREX300FREX300FREX300FREX300SFREX300S100 Gal100 Gal100 Gal600 GaliL70iL70iL70iL70iL70iL70Spectra-G 800Spectra-G 8009850UV9850UVART200ART200ART200EVG850DB

Page 43: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

EVG850TBDB 835POC-500WPOC-500WPOC-500WHTC 8020202MCU202MCU202MCU202MCUPEP 3510 PlusPEP 3510 PlusPEP 3510APEP 3510APEP 3510APEP 3510APEP 3510APEP 3510APEP 3510APEP 3510APEP 3510CElymat III2020BIDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12IDScope IDS8N-25-NB-M12

Page 44: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IDScope IDS8N-25-NB-M12S-9220S-9220S-9220S-9220S-9220S-9220S-9220AldinnaAldinnaATS BlazerJWS-7505S425Vibraplane 1201-11-1121382138XP236723672800AIT IIAIT UVAIT XPAIT XPAIT XPAIT XP+AIT XP+AIT XP+AIT XP+AIT XUVAIT XUVAIT XUVAIT XUVAIT XUVArcher AIMArcher AIMArcher AIM+

Page 45: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Archer XTArcher XTArcher XTArcher XT+ASET-F5xCandela CS2FLX-5400FLX-5400P-20HPrometrix UV-1080Prometrix UV-1080Prometrix UV-1280SEPuma 9130Surfscan 6420Surfscan 6420Surfscan SP1 DLSSurfscan SP1 DLSSurfscan SP1 TBITerascan 586TeraScan SL526UV-1280SEClean AceQuixace II230023002300 Exelan2300 Exelan Flex2300 Exelan Flex2300 Exelan Flex2300 Exelan Flex2300 Exelan Flex2300 Exelan Flex 452300 Exelan Flex LEAP2300 Versys2300 Versys2300 Versys

Page 46: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

2300 Versys StarAlliance (A6) TCP 9400DFMRainbow 4420Rainbow 4500TCP 9400SETCP 9400SETCP 9400SETCP 9600TCP 9600TCP 9600CFETCP 9600SETCP 9600SEM2351SDA 9000INM 200INM 200LDS3000MLDS3000MLMS IPRO2RES101LTD-2500FMSS-81628002800280028002900290029002900300030003000300030003000

Page 47: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

HeliosMentor DF3Mentor DF3MM400 LHC-SModel #3CD300HTD-1HTD-1HTD-1HTD-1HTD-1Maverick II GTM-2020NovaScan 3060NovaScan 3090 NextNovaScan 3090 NextNovaScan 3090 NextNovaScan 3090 NextNovaScan 3090 NextConcept Three SpeedConcept Three SpeedConcept Three SpeedConcept Three SpeedConcept Three Speed MAX LiteConcept Three Speed MAX LiteConcept Three Speed NExTConcept Three Speed NExTConcept Two-Dual AltusConcept Two-Dual Altus-SConcept Two-Dual Sequel-SConcept Two-Dual SpeedConcept Two-Dual SpeedConcept Two-Dual SpeedConcept Two-Triple SpeedConcept Two-Triple SpeedConcept Two-Triple Speed

Page 48: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Concept Two-Triple SpeedConcept Two-Triple SpeedConcept Two-Triple Speed xT-SINOVA NExTINOVA NExTPEP IRIDIAPEP Iridia DLSABRExTAurora 1088-AS Rotary TOC AutosamplerAL100-L8AL100-L8AL100-L8AL100-L8AL100-L8FR3210DSS-200 Series IDSS-200 Series IIAitos ATS1540SIMAA 6100Typhoon S-790H-20260-LH2-UP100110710011071001108100110810011081001108100110810011081001108DFF-4200SIDFF-4200SIDFF-4200SIDP2200SIDP2200SIEdgeScan

Page 49: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

MFM65WaferX 300Starmark LME SeriesES2-PSAAxi 930MetaPULSE 300MetaPULSE 300WaferView 310E200SWPSWPSWPFAast-350TR-78TR-78SAFEGAURD-RHISRaider SP310Raider SP310Raider SP310323323323323323DV-34SBM380SBP660INR-341-56AINR-341-60A-X20SSM 470iSSM 6100N/AAWPAWPAWPAWP

Page 50: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

AWPAWPAWPAWPAWPAWPAWPAWPSX3100SX3100SX3100A5xx - uWave Double Height CAL DIBJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceJ750 - Prober Docking HW InterfaceUltraFLEXUltraFLEX - Pogo Tower (1620 pin)UltraFLEX - Pogo Tower (1620 pin)Opti-Probe 7341Therma-Probe 500MicronX CXRMicronX GXRCALPHA-303i NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S Nitride

Page 51: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ALPHA-8S NitrideALPHA-8S NitrideALPHA-8S NitrideALPHA-8S OxideALPHA-8S PolyALPHA-8S PolyALPHA-8S PolyALPHA-8S TEOSALPHA-8S TEOSALPHA-8S TEOSALPHA-8SEALPHA-8SEALPHA-8SEALPHA-8SEALPHA-8SEALPHA-8SEALPHA-8SE PolyALPHA-8SE PolyALPHA-8SE PolyALPHA-8SE PolyALPHA-8SE PolyCLEAN TRACK ACT 12CLEAN TRACK ACT 12CLEAN TRACK ACT 12CLEAN TRACK ACT 12CLEAN TRACK ACT 12 SODCLEAN TRACK ACT 12 SODCLEAN TRACK ACT 8CLEAN TRACK ACT 8CLEAN TRACK ACT 8CLEAN TRACK ACT 8CLEAN TRACK LITHIUSCLEAN TRACK LITHIUSCLEAN TRACK LITHIUSCLEAN TRACK LITHIUS ProExpedius

Page 52: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ExpediusExpediusExpediusExpediusExpediusExpedius+Telius SP-305 SCCMTelius SP-305 SCCMTrias Multi-ProcessTrias Multi-ProcessTrias Tandem Metal CVD LinerTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNTrias Ti/TiNUNITY II 85DDUNITY II 85DDUNITY II e 855DDUNITY II e 85DDUNITY II e 85DDUNITY M 85 TDUNITY M 85 TDUNITY Me 85 TDUW200ZUW200ZUW200ZUW200ZUW200ZUW200ZUW300ZUW300ZUW300Z

Page 53: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

UW300ZUW300ZUW300ZUW300ZUW300ZY SeriesImagemaster PRO WaferWavemaster PRO WaferAP200Ultratech Spectrum 3eEntron-EX W300T210 Gal Ball Mill Drum52 Gal Ball Mill52 Gal Ball Mill52 Gal Ball Mill52 Gal Ball Mill52 Gal Ball Mill52 Gal Ball Mill Drum52 Gal Ball Mill Drum52 Gal Ball Mill DrumUMA-1002VIISta HCSVIISta HCSDimension Vx 330Dimension Vx 330Dimension X1DDimension X3D PMTurboDisc K465iTurboDisc K465iTurboDisc K465i83000Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)

Page 54: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Audio/Video 8 Analog Card (AV8 / MCA)WDA High Speed DigitzerWDA High Speed DigitzerHBM 650HBM 650AutoKMS-100

Page 55: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Equip Type S/NWafer Dicing Saw HM0811CLWafer Characterization AMS060FT-IR 3016FT-IR 3019FT-IR AMS 3027iFT-IRFT-IR 3029iPick & Place Memory Handler 810001978Parts/OptionsMemory Tester ITA2Memory Tester 310101244Memory Tester 310111558Memory Tester 310111559Memory Tester 310228318Memory Tester 310251930Memory Tester 310263799Memory Tester 310190807Memory Tester 310409498Memory Tester 310401767Memory Tester DA666054RBurn-in Tester 10397Burn-in Tester 10419Dry Pump KA22601196Dry Pump 2407465Dry Pump KAP2722750Dry Pump KAP2620694Dry Pump KAP5112711Dry Pump KAP2720720Dry Pump KAP2720690Dry Pump KAP2507099

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not warrant the completeness or accuracy of the information contained herein. Any offer by you to purchase of the equipment described in this equipment list shall be subject to our standard terms and conditions of sale.

Page 56: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump KAP2620755General Purpose Lift 11061118General Purpose Lift 09039024General Purpose Lift 08108446AReticle Inspection Y5012Dielectric Etch 412202Dielectric Etch 404086Dielectric Etch 410876LPCVD 412017LPCVD 412020LPCVD 413869LPCVD 414235PECVD (Chemical Vapor Deposition) C527TEOS C383TEOS C154R2Dielectric Etch 9526Dielectric Etch 9528Dielectric Etch 9548Dielectric Etch 9450Dielectric Etch 9600Dielectric Etch 9225Dielectric Etch 9465Metal CVD (Chemical Vapor Deposition) C382Epitaxial Silicon (EPI) 4202289Epitaxial Silicon (EPI) 414778Epitaxial Silicon (EPI) 416562Polysilicon Etch 403601Polysilicon Etch 403602Polysilicon Etch 403603Polysilicon Etch 415025Polysilicon Etch 403723Polysilicon Etch 403773Polysilicon Etch 406858Parts/Chamber Modules variousParts/Chamber Modules variousDielectric Etch 403724

Page 57: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dielectric Etch 410677Dielectric Etch 412207Dielectric Etch 412214Dielectric Etch 413255Dielectric Etch 413258Dielectric Etch 412208Dielectric Etch 406841Dielectric Etch 412189Dielectric Etch 415130Dielectric Etch 416863Dielectric Etch 403727Dielectric Etch 413249Dielectric Etch 412213Dielectric Etch 420189Dielectric Etch 420406Dielectric Etch 418581Dielectric Etch 419286Trench Etch 404083Trench Etch 404084Trench Etch 404085Trench Etch 408184Trench Etch 410984Trench Etch 412211Parts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber Modules

Page 58: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Parts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesParts/Chamber ModulesHDP CVD (Chemical Vapor Deposition) 410304HDP CVD (Chemical Vapor Deposition) 409577HDP CVD (Chemical Vapor Deposition) 407761HDP CVD (Chemical Vapor Deposition) 406413HDP CVD (Chemical Vapor Deposition) 407566HDP CVD (Chemical Vapor Deposition) 408680HDP CVD (Chemical Vapor Deposition) 407837HDP CVD (Chemical Vapor Deposition) 409576Polysilicon Etch 9685Polysilicon Etch 9451Polysilicon Etch 00598-04Polysilicon Etch 20399Polysilicon Etch 321209-X2Parts/Chamber Modules TBDDielectric Etch 325131Dielectric Etch 9467Dielectric Etch 9466Dielectric Etch 9525Polysilicon Etch 9405Polysilicon Etch 9199Platform RTP Equipment 400906HDP CVD (Chemical Vapor Deposition) 304588-DCHDP CVD (Chemical Vapor Deposition) 412693Darkfield Inspection T816Darkfield Inspection T825Darkfield Inspection T2150Darkfield Inspection T262Darkfield Inspection T200PVD (Physical Vapor Deposition)PVD (Physical Vapor Deposition) 401851PVD (Physical Vapor Deposition) 402958

Page 59: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

PVD (Physical Vapor Deposition) 403838PVD (Physical Vapor Deposition) 3453PVD (Physical Vapor Deposition) P290Parts/PeripheralsParts/PeripheralsParts/PeripheralsPVD (Physical Vapor Deposition) 416765PVD (Physical Vapor Deposition) 415801PVD (Physical Vapor Deposition) 3677Dielectric CMP L464Dielectric CMP 402775

U659U598U633U612TBD

Reticle CD SEM U-637PECVD (Chemical Vapor Deposition) 11474Polysilicon Etch 6704PECVD (Chemical Vapor Deposition) 406733PECVD (Chemical Vapor Deposition) 409048PECVD (Chemical Vapor Deposition) 409361PECVD (Chemical Vapor Deposition) 407839PECVD (Chemical Vapor Deposition) 320086PECVD (Chemical Vapor Deposition) 405225PECVD (Chemical Vapor Deposition) 300587PECVD (Chemical Vapor Deposition) V179PECVD (Chemical Vapor Deposition) 322249PECVD (Chemical Vapor Deposition) 410416High Current Implanter QX1124Platform RTP Equipment 331685-CPlatform RTP Equipment n/aSEM - Defect Review (DR) W-225

U7092Vertical Atmospheric Furnace 30262-10Vertical Atmospheric Furnace 30263-10

SEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) Measurement

SEM - Critical Dimension (CD) Measurement

Page 60: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Vertical Atmospheric Furnace 30401-10Vertical Atmospheric Furnace 0851050510i-Line Scanner 5792i-Line Scanner 6725248nm (KrF) Scanner 3438248nm (KrF) Scanner 5209248nm (KrF) Scanner 7477248nm (KrF) Scanner 3501193nm (ArF) Scanner 8885193nm (ArF) Scanner 8727Immersion Scanner 3797Immersion Scanner 7393SMIF 3997-00378SMIF 4697-00435ALD (Atomic Layer Deposition) 40084600ALD (Atomic Layer Deposition) 40079200Vertical Furnace - Other H08046Stripper/Asher ES3i2A4086Stripper/Asher ES3i2F446Stripper/Asher MD9K164AStripper/Asher MD8H128AHigh Current Implanter 080386High Current Implanter 072026High Current Implanter 072027High Current Implanter 072019High Current Implanter 072022High Current Implanter 072017High Current Implanter 072005Standalone RTP Equipment H040014Standalone RTP Equipment H04004Bake Oven DCC-1071Bake Oven DCC-1072SMIF 5697SMIF 29698SMIF 21125-2074SMIF 5129-062

Page 61: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

SMIF 5130-778SMIF 5130-168SMIF 21125-2015SMIF 5130-527SMIF 5130-289SMIF 5130-250SMIF 21126-2072SMIF 5130-555SMIF 5130-768SMIF 21125-2183SMIF 20027-1044SMIF n/a Tag fell offSMIF 251061SMIF 216701SMIF 216101SMIF 216901SMIF 217101SMIF 216301SMIF 215901SMIF 252101Surface Grinder n/aImplant Dosing Measurement SP024Microscope 743018FE SEM 2736FE SEM 2605Engineering Wafer Prober 1017Rotary Surface Grinder SER49672X-Ray Radiography System 59000-094X-Ray Radiography System 1S909-0300Resistivity Measurement D1038946FSolder Paste Inspection 20049Wafer Scrubber - Post CMP A24ASST0005Batch Wafer Processing 630600293ASingle Wafer Processing 64530-6163Linear Wafer Tracks (Resist Coater) 55700-3278Linear Wafer Tracks (Resist Coater) 55700-3272

Page 62: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Linear Wafer Tracks (Resist Coater) 55700-3277Linear Wafer Tracks (Resist Coater) 60700-3742Linear Wafer Tracks (Resist Developer) 55700-3279Linear Wafer Tracks (Resist Developer) 55700-3273Linear Wafer Tracks (Resist Developer) 55700-3236Linear Wafer Tracks (Resist Developer) 55700-3276Wafer Scrubber 60700-3685Wafer Scrubber 60700-3638Wafer Scrubber 60700-3682Wafer Scrubber 60700-3683Wafer Scrubber 60700-3639Wafer Scrubber 60700-3692Wafer Scrubber 60700-3695Wafer Scrubber 60700-3689Wafer Scrubber 60700-3694Wafer Scrubber 56700-2219Single Wafer Processing 530G0-0186ASingle Wafer Processing 530G00194AIn-Line Screen Printer 284844In-Line Screen Printer 278240Wafer Dicing Saw EL1075Wafer Dicing Saw EL1088Wafer Dicing Saw EL1189Wafer Dicing Saw EL1783Wafer Dicing Saw EL1568Wafer Dicing Saw KA1241Reticle Stocker 4103Dry Pump A87003Dry Pump A86232Dry Pump A77197Dry Pump A76933Dry Pump A77344Dry Pump A77035Dry Pump A96658Dry Pump A76936Dry Pump A016517

Page 63: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump A77214Dry Pump A76869Dry Pump n/a SN not on tagDry Pump A76896Dry Pump A87172Dry Pump A87187Dry Pump A016436Dry Pump A006216Dry Pump A77139Dry Pump A86686Dry Pump A77345Dry Pump A76949Dry Pump A77133Dry Pump A67260Dry Pump A81112Dry Pump A77224Dry Pump A77227Dry Pump A86859Dry Pump A56744Dry Pump A86227Dry Pump A87050Dry Pump A76942Dry Pump A76941Dry Pump A76864Dry Pump A76861Dry Pump A87051Dry Pump A86839Dry Pump A86947Dry Pump A77228Dry Pump A017434Dry Pump A016645Dry Pump A76974Dry Pump A87405Dry Pump A86225Dry Pump A77537Dry Pump A86832

Page 64: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump A77131Dry Pump A016431Dry Pump A76868Dry Pump A016609Dry Pump A76710Dry Pump A87406Dry Pump A77244Dry Pump A77242Dry Pump A97013Dry Pump A96084Dry Pump A86849Dry Pump A96478Dry Pump A76946Dry Pump A77273Dry Pump A77302Dry Pump DCA00306Dry Pump A60409Dry Pump A60438Dry Pump A60410Dry Pump AA0004Dry Pump A86418Dry Pump A76970Dry Pump A81114Dry Pump A86848Dry Pump RW07032-01-99Dry Pump RW07032-01Dry Pump RX08399-01Dry Pump RW07032-01Dry Pump Z007832Dry Pump Z006616Dry Pump RX01544-01Dry Pump RW07032-03-13Dry Pump RW07032-01-59Dry Pump Z006554Dry Pump Z96583Dry Pump Z006888

Page 65: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump RX01544-01-34Dry Pump RX08399-01-22Dry Pump RW02204-2-10Dry Pump RU0195-01-22Dry Pump RW05943-01-13Dry Pump Z016461Dry Pump RW07032-01-79Dry Pump RW07032-02Dry Pump Z016677Dry Pump RY00043-01-22Dry Pump RX09306-01Dry Pump RT03256-01Dry Pump RT30050212Dry Pump R030342701-22Dry Pump R020032901-22Dry Pump R000211006Dry Pump RW07015-01-22Dry Pump RW09288-03-18Dry Pump RX06932-01Dry Pump Y006519Dry Pump RX06932-02Dry Pump RW07015-01-12Dry Pump RW08085-01-22Dry Pump RW07015-04-12Dry Pump RW07015-04-22Dry Pump Y046224Dry Pump R990754801Dry Pump R040640101-12Dry Pump R10325801Dry Pump R030775701Dry Pump R060499501-22Dry Pump R030478901-33Dry Pump R050717401-13Dry Pump R000210502-22Dry Pump R050201501Dry Pump R030694101-34

Page 66: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump R060121501-23Dry Pump R060641501-14Dry Pump R030345801Dry Pump R0307911013Dry Pump R060617901-12Dry Pump R050674801-22Dry Pump R020775301-12Dry Pump R030748601Dry Pump R050898201-22Dry Pump R050506601-23Dry Pump R060733601-14Dry Pump R060673301-33Dry Pump R070086101-12Dry Pump R990754801-34Dry Pump R040649101-12Dry Pump RT033258-01Dry Pump R030637801-46Dry Pump R050506101-23Dry Pump R050896001-13Dry Pump R060121301Dry Pump R05638401-34Dry Pump C007108Dry Pump C006703Dry Pump C006069Dry Pump C017280NDry Pump C77419Dry Pump C76403Dry Pump C036149Dry Pump C017284Dry Pump C006464Dry Pump C76298Dry Pump C016775Dry Pump C67867Dry Pump C67560Dry Pump C77235Dry Pump C76464

Page 67: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump C76521Dry Pump C77237Dry Pump C006666Dry Pump C76290Dry Pump C86632Dry Pump C76525Dry Pump C006580Dry Pump C67775Dry Pump C51449Dry Pump C86880Dry Pump C006339Dry Pump C006367Dry Pump C77058Dry Pump C86860Dry Pump C86954Dry Pump C86254Dry Pump C97052Dry Pump C016778Dry Pump C016748Dry Pump C77051Dry Pump C40466Dry Pump C006460Dry Pump C76462Dry Pump C76296Dry Pump C76624Dry Pump C006469Dry Pump C006372Dry Pump C77109Dry Pump C77112Dry Pump C76336Dry Pump C81126Dry Pump C86866Dry Pump C017218Dry Pump C017154Dry Pump C017153Dry Pump C017149

Page 68: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump C76520Dry Pump C77547Dry Pump C87356Dry Pump C76527Dry Pump C96437Dry Pump C006589Dry Pump C77230Dry Pump C97217Dry Pump C87019Dry Pump C86252Dry Pump C86253Dry Pump C70225Dry Pump C86885Dry Pump C77103Dry Pump C77257Dry Pump C87010Dry Pump C87013Dry Pump C86889Dry Pump C77234Dry Pump C77252Dry Pump C76621Dry Pump C#50076Dry Pump C96052Dry Pump C76334Dry Pump C76402Dry Pump C86951Dry Pump C77382Dry Pump C016976Dry Pump DCA00479Dry Pump DCA00533Dry Pump DCA00789Dry Pump 50451102Dry Pump BSC0341Dry Pump BSC0322Dry Pump DCA00780Dry Pump DCA00840

Page 69: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Dry Pump DCA00477Dry Pump DCA00338Dry Pump DCA00604Dry Pump DCA00606Turbomolecular Pump variousPoly/STI CMP PZD31045WXPoly/STI CMP PZD31061WXPoly/STI CMP PZD41182WXPoly/STI CMP PZD31046WXPoly/STI CMP PZD31065WXPoly/STI CMP PDZ41181WXPoly/STI CMP PZD31068WXPoly/STI CMP PZD51214WXPoly/STI CMP R030187501Poly/STI CMP PZD51215WXPoly/STI CMP PZD31069WXPoly/STI CMP PGA71442WXPoly/STI CMP PGA61380WXChemical/Gas Storage & Delivery n/aChemical/Gas Storage & Delivery n/aChemical/Gas Storage & Delivery n/aChemical/Gas Storage & Delivery n/aDry Pump 46016703Dry Pump 27375554Dry Pump 37870032Dry Pump 16851577Dry Pump 27551574Dry Pump 27551642Abatement - Exhaust Management System UnknownAbatement - Exhaust Management System UnknownLaser Repair System 68591Laser Repair System 68601Burn-in Tester M2333Burn-in Tester M2313Burn-in Tester M2267Automated Debonding System S120007

Page 70: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Temporary Bonding System S120008Focused Ion Beam System 994901Chiller/Heat Exchanger 1889.1/86Chiller/Heat Exchanger 1889.2/86Chiller/Heat Exchanger 1889.3/86Carrier Cleaner F-PCE-05213Stripper/Asher PMD6C020AStripper/Asher PMD6A012AStripper/Asher PMD6B010AStripper/Asher MD7A073AStripper/Asher n/a serial tag missingStripper/Asher M981580Stripper/Asher 98040Stripper/Asher 98058Stripper/Asher 98055Stripper/Asher M981090Stripper/Asher M981510Stripper/Asher M981150Stripper/Asher M980830Stripper/Asher M098053Stripper/Asher M981060Lifetime Measurement 60080-01-06-02Vapor Prime Oven S2X20B96106Wafer Sorter GA101367Wafer Sorter GA101336Wafer Sorter GA101272Wafer Sorter GA101277Wafer Sorter GA101364Wafer Sorter GA101403Wafer Sorter GA101261Wafer Sorter GA101393Wafer Sorter GA101294Wafer Sorter GA101376Wafer Sorter GA101345Wafer Sorter GA101400Wafer Sorter GA101365

Page 71: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Wafer Sorter GA1012959747-039745-089742-019740-079746-039744-109747-05

ALD (Atomic Layer Deposition) T2DC6-13176-1ALD (Atomic Layer Deposition) T2DC6-13151-1Design Verification Tester 117SEM - Defect Review (DR) WS179004-13Parametric Tester QMO750Vibration Control Workstation 120-2047Brightfield Inspection W21XX1033Brightfield Inspection W21XX921Brightfield Inspection W23673176Brightfield Inspection W23653237Parts/Options 3906118172Darkfield Inspection 9144Darkfield Inspection 1052Darkfield Inspection 9060Darkfield Inspection 9310Darkfield Inspection 9153Darkfield Inspection 9313Darkfield Inspection 9243RDarkfield Inspection 9223Darkfield Inspection 9100Darkfield Inspection 1055Darkfield Inspection UV1144Darkfield Inspection T2122Darkfield Inspection UV1074Darkfield Inspection UV1130Overlay Measurement System 3322Overlay Measurement System 3425Overlay Measurement System 3730

SEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) MeasurementSEM - Critical Dimension (CD) Measurement

Page 72: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Overlay Measurement System 3347Overlay Measurement System 3338Overlay Measurement System 3402Overlay Measurement System 3772Film Thickness Measurement System 0011815Wafer Inspection Equipment 041203-565Stress Measurement 0296-4491Stress Measurement 1296-4567Profilometer 01960172Film Thickness Measurement System 961074UV1050RFilm Thickness Measurement System 961276UV1050RFilm Thickness Measurement System 971097UV1280SEDarkfield Inspection C1123Particle Measurement 0297-390Particle Measurement 0598-516Particle Measurement 0104-0167Particle Measurement 0904-0230Particle Measurement 0801-0716Reticle Inspection 5007Reticle Inspection 5013Film Thickness Measurement System 980592Support Equipment 17001Vertical Diffusion Furnace T2DC6-14714-1Multi-Process Etch 23TM0009Multi-Process Etch 23TM0040Dielectric Etch 63028Dielectric Etch 101543507170014Dielectric Etch 104298Dielectric Etch Hoo557Dielectric EtchDielectric Etch 104299Parts/Chamber Modules 23F450127(F103269-PM1)Dielectric Etch 105529Polysilicon Etch 23TM0010Metal Etch 97034Polysilicon Etch TM66815

Page 73: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Polysilicon Etch 75638Polysilicon Etch 80138Polysilicon Etch 3943Dielectric Etch 2303Polysilicon Etch 40023Polysilicon Etch 40092Polysilicon Etch 40100Metal Etch 42088Metal Etch 42066Metal Etch 42098Metal Etch 42125Metal Etch 42126Reticle Inspection 001092Oscilloscope LCRY0411N46093Microscope 2080-970805-047Microscope 2080-970805-049Macro-Defect 211Macro-Defect V000247Reticle Inspection 106Specimen Preparation 203Wafer Mounter D1S-9024-AWMicro Slicing System 1151Standalone RTP Equipment 9703-0333Standalone RTP Equipment 97100388Standalone RTP Equipment 97090387Standalone RTP Equipment 97120410Standalone RTP Equipment 2007 05 1254Standalone RTP Equipment 2007 05 1255Standalone RTP Equipment 2007 06 1262Standalone RTP Equipment 2006011113Standalone RTP Equipment 99020530Standalone RTP Equipment 98080480Standalone RTP Equipment 2008031297Standalone RTP Equipment 2008031298Standalone RTP Equipment 2008051307Standalone RTP Equipment 2008051308

Page 74: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Standalone RTP Equipment 2005041057Mass Measurement 101-0518Mass Measurement 101-0512Slurry Pump POBVS006044Jig Bore B1128Wafer Stocker n/aChiller/Heat Exchanger 198042028Chiller/Heat Exchanger E96123010Chiller/Heat Exchanger E96303166Chiller/Heat Exchanger 197220211Chiller/Heat Exchanger 197358016VLSI Tester 808932Adhesive Dispenser 11911

TBD4273MN3712MN3713MN3711MN3254MN

HDP CVD (Chemical Vapor Deposition) 3131AHDP CVD (Chemical Vapor Deposition) 3138AHDP CVD (Chemical Vapor Deposition) D9227AHDP CVD (Chemical Vapor Deposition) D11711AHDP CVD (Chemical Vapor Deposition) D25989AHDP CVD (Chemical Vapor Deposition) D25990AHDP CVD (Chemical Vapor Deposition) D9229AHDP CVD (Chemical Vapor Deposition) D25706AWCVD (Chemical Vapor Deposition) D14496AWCVD (Chemical Vapor Deposition) 98-7-5467PECVD (Chemical Vapor Deposition) 96-47-5233HDP CVD (Chemical Vapor Deposition) 99-10-C25626HDP CVD (Chemical Vapor Deposition) 99-37-C25725HDP CVD (Chemical Vapor Deposition) 00-2-C25803HDP CVD (Chemical Vapor Deposition) 02-19-C26457HDP CVD (Chemical Vapor Deposition) 7HDP CVD (Chemical Vapor Deposition) D8973A

Integrated CMP Endpoint / Film MeasurementIntegrated CMP Endpoint / Film MeasurementIntegrated CMP Endpoint / Film MeasurementIntegrated CMP Endpoint / Film MeasurementIntegrated CMP Endpoint / Film MeasurementIntegrated CMP Endpoint / Film Measurement

Page 75: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

HDP CVD (Chemical Vapor Deposition) 6429HDP CVD (Chemical Vapor Deposition) 03-15-C26576HDP CVD (Chemical Vapor Deposition) D11793APVD (Physical Vapor Deposition) M13126APVD (Physical Vapor Deposition) M15065AStripper/Asher S8679AStripper/Asher M860270ECD (Electro Chemical Deposition) 03-15-CUXT0130Total Organic Carbon (TOC) Analyzer E028788834Microscope H502019Microscope P503066Microscope H505039Microscope H505042Microscope H507064Optical Review System 203004Wafer Scrubber - Post CMP 10078Wafer Scrubber - Post CMP 10103Image Sensor TesterSpectrometry 610S3120302Quartz Tube Cleaner 17401Storage Tank C-16485Wafer Sorter 003Wafer Sorter 004Wafer Sorter 001Wafer Sorter UnknownWafer Sorter 004Wafer Sorter 005Wafer Sorter 008Wafer Sorter 002Wafer Sorter UnlnownWafer Sorter 144Wafer Sorter UnknownWafer Sorter UnknownWafer Sorter UnknownWafer Sorter UnknownEdge Defect WF-10088

Page 76: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

X-Ray Inspection System CS25021X-ray Fluorescence Spectrometer BR71007Laser Marker SMP65A291USWafers n/aMacro-Defect Axi-1109Film Thickness Measurement System 1-05-MPO-1344-BU-06Film Thickness Measurement System MP802Macro-Defect 0007Batch Wafer Processing 40939Single Wafer Processing SE1205-2004004Single Wafer Processing SE1206-2005001Single Wafer Processing SC1202-2006027Wafer Inspection Equipment 06-040341Lead Inspection Equipment 287Lead Inspection Equipment 300Reticle Inspection 290-003Single Wafer Processing 229389Single Wafer Processing T238991Single Wafer Processing T239141Single Wafer Processing 729Single Wafer Processing 732Single Wafer Processing 859Single Wafer Processing 730Single Wafer Processing 812Single Wafer Processing 1298-T303Ball Inspection & Repair SAW3802Ball Attach System CAW6603Chiller/Heat Exchanger ES-1Chiller/Heat Exchanger UY-2Electrical Characterization 128Resistivity Measurement 003Quartz Tube Storage n/aBatch Wafer Processing 48190-011Batch Wafer Processing 46270-011Batch Wafer Processing 48110-011Batch Wafer Processing 48080-011

Page 77: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Batch Wafer Processing 65830-011Batch Wafer Processing 48660-011Batch Wafer Processing 49690-011Batch Wafer Processing 48620-011Batch Wafer Processing 48650-011Batch Wafer Processing 68930-011Batch Wafer Processing 9401 3709Batch Wafer Processing 9401 3789Pick & Place SOC Handler P67016Pick & Place SOC Handler P67017Pick & Place SOC Handler P67039Parts/OptionsParts/PeripheralsParts/PeripheralsParts/PeripheralsParts/PeripheralsParts/PeripheralsParts/PeripheralsParts/PeripheralsSOC Tester 0835F306Interface Products 2980SVInterface Products 2531SVFilm Thickness Measurement System OP7340PR1Implant Dosing Measurement 3793X-ray Fluorescence Spectrometer 12040263X-ray Fluorescence Spectrometer 09030219Vertical Nitride Furnace L00000795219Vertical Nitride Furnace A00009715127Vertical Nitride Furnace AOU000730008Vertical Nitride Furnace A00009715135Vertical Nitride Furnace A00009845273Vertical Nitride Furnace A000097Y5649Vertical Nitride Furnace A00009715130Vertical Nitride Furnace A00009915009Vertical Nitride FurnaceVertical Nitride Furnace

A000097X5550 and A000097Z5678A000098X5410 and A000097X5551

Page 78: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Vertical Nitride FurnaceVertical Nitride FurnaceVertical Nitride FurnaceVertical Oxide Furnace A00009740045Vertical POLY Furnace A00009795488Vertical POLY Furnace A00009610095Vertical POLY Furnace A00009995112Vertical TEOS FurnaceVertical TEOS FurnaceVertical TEOS FurnaceVertical Diffusion Furnace M00000735053Vertical Diffusion Furnace M00000735077Vertical Diffusion Furnace M00000795151Vertical Diffusion Furnace M00000785134Vertical Diffusion Furnace M00000755104Vertical Diffusion Furnace M000006X5154Vertical POLY Furnace M00000435016Vertical POLY Furnace M000003Y5146Vertical POLY Furnace M00000085435Vertical POLY Furnace M00000085436Vertical POLY Furnace M000000X5459Single Block (Resist Coater/Developer) E240765Single Block (Resist Coater/Developer) MDE171315Single Block (Resist Coater/Developer) MDE281370Single Block (Resist Coater/Developer) MDE281371Spin On Dielectric (SOD) J161113Spin On Dielectric (SOD) MDJ181348Multi Block (Resist Coater/Developer) MD-9180182Multi Block (Resist Coater/Developer) MD-9180185Multi Block (Resist Coater/Developer) MD-9180324Multi Block (Resist Coater/Developer) MD-9180325Multi Block (Resist Coater/Developer) MDG360801Multi Block (Resist Coater/Developer) MDG381166Multi Block (Resist Coater/Developer) G371218Multi Block (Resist Coater/Developer) MD-N290249Batch Wafer Processing W063343

A000097X5548 and A000097Z5680A00009935032 and A00000025019A00000095215 and A00000095214

A000097X5545 and A00009925019A00009835134 and A000098X5409A000097Y5664 and A00009835133

Page 79: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Batch Wafer Processing W063350Batch Wafer Processing W063351Batch Wafer ProcessingBatch Wafer Processing W062275Batch Wafer Processing W053190Batch Wafer Processing W062358Dielectric Etch H00557Dielectric Etch H00557Metal CVD (Chemical Vapor Deposition) 89221Metal CVD (Chemical Vapor Deposition) K10011Metal CVD (Chemical Vapor Deposition) K10021Metal CVD (Chemical Vapor Deposition) C13293Metal CVD (Chemical Vapor Deposition) C13533Metal CVD (Chemical Vapor Deposition) C13683Metal CVD (Chemical Vapor Deposition) C14193Metal CVD (Chemical Vapor Deposition) C14173Metal CVD (Chemical Vapor Deposition) C14253Metal CVD (Chemical Vapor Deposition) C13663Metal CVD (Chemical Vapor Deposition) C13343Dielectric Etch U00633Dielectric Etch LLZ531Dielectric Etch U00744Dielectric Etch U00739Dielectric Etch U00738Dielectric Etch UM0004Dielectric Etch UM0011Dielectric Etch UME119Batch Wafer Processing R011043Batch Wafer Processing R001022Batch Wafer Processing R021075Batch Wafer Processing RO41107Batch Wafer Processing R021070Batch Wafer Processing RO11054Batch Wafer Processing W032087Batch Wafer Processing W032088Batch Wafer Processing W033090

Page 80: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Batch Wafer Processing W032093Batch Wafer Processing W042094Batch Wafer Processing W042095Batch Wafer Processing W031091Batch Wafer Processing W033089Molding Equipment ZZQ-990-25669

Not KnownWavefront Measurement Not Knowni-Line Stepper 5116Broadband 2405PVD (Physical Vapor Deposition) ME11-9002-0Ceramic Ball Mill Drum 0146-ECeramic Ball Mill 7460910ASCeramic Ball Mill 7460910ANCeramic Ball Mill 7460910AHCeramic Ball Mill 7460910AFCeramic Ball Mill 7460910ACCeramic Ball Mill Drum 0717ECeramic Ball Mill Drum 0718ECeramic Ball Mill Drum 0719EUV Cure System 9701006High Current Implanter ES137864High Current Implanter ES137887Atomic Force Profiler (AFP) 125Atomic Force Profiler (AFP) 127Atomic Force Microscope (AFM) 113Atomic Force Microscope (AFM) 111MOCVD 10089914MOCVD 10084985MOCVD 100889915VLSI Tester 3147G00107Parts/Options JP-3268Parts/Options JP-3343Parts/Options JP-2702Parts/Options AT-2530Parts/Options AT-2046

Modulation Transfer Function (MTF) Tester

Page 81: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Parts/Options JP-3084Parts/OptionsParts/OptionsVacuum Burnout Furnace 1106Vacuum Burnout Furnace 1168Reticle CD SEM 004

Page 82: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Tool Location PM Wafer SizeIMMEDIATE , Singapore PJ 8"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Boise, United States PJ n/aIMMEDIATE Nampa, United States PJ

#VALUE! Taoyuan, Taiwan PJ 12"#VALUE! Taoyuan, Taiwan PJ 12"

IMMEDIATE Manassas, United States PJ n/aIMMEDIATE Manassas, United States PJ n/a

01 Jul 2014 , Singapore PJ n/a01 Dec 2013 , Singapore PJ n/a01 Dec 2013 , Singapore PJ n/a01 Feb 2014 , Singapore PJ n/a

IMMEDIATE Taoyuan, Taiwan PJIMMEDIATE Taoyuan, Taiwan PJIMMEDIATE Nampa, United States PJIMMEDIATE Nonthaburi, Thailand PJIMMEDIATE Nonthaburi, Thailand PJIMMEDIATE Cheongju-si, Korea, South MH n/aIMMEDIATE Cheongju-si, Korea, South MH n/aIMMEDIATE Cheongju-si, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/a

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not

Date Available

Page 83: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE Boise, United States TS n/aIMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"

15 Aug 2014 , Singapore MH 12"29 Jul 2013 , Singapore MH 12"

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

Page 84: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

02 Sep 2013 , Singapore MH 12"02 Nov 2013 , Singapore MH 12"01 Sep 2014 , Singapore MH 12"24 Jan 2014 , Singapore MH 12"

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

Page 85: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Cheonan City, Korea, South MH 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Lujhu Township, Taiwan MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Dresden, Germany MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"

Page 86: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 6"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 6"IMMEDIATE Lujhu Township, Taiwan MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Williston, United States MH 12"IMMEDIATE Nampa, United States TS n/aIMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE , Singapore MH 12"

08 Aug 2013 , Singapore MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

Page 87: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE Boise, United States TS 6"IMMEDIATE Boise, United States TS 6"IMMEDIATE San Jose, United States TS 8"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States TS 8"IMMEDIATE Taoyuan, Taiwan TS 12"

01 Nov 2013 , Singapore TS 12"IMMEDIATE Lehi, United States TS 12"

30 Dec 2013 Taoyuan, Taiwan TS 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Dresden, Germany MH 8"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE Manassas, United States PJ n/aIMMEDIATE Manassas, United States PJ n/aIMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"

Page 88: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Williston, United States PJ n/aIMMEDIATE Manassas, United States MH 12"IMMEDIATE Dresden, Germany MH 4"IMMEDIATE Boise, United States MH n/aIMMEDIATE Boise, United States MH n/aIMMEDIATE Boise, United States PJ 8"IMMEDIATE Williston, United States PJ n/aIMMEDIATE Sunnyvale, United States PJ n/aIMMEDIATE Sunnyvale, United States PJ n/aIMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States PJ n/aIMMEDIATE Boise, United States MH 8"IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States TS 8"

Page 89: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Boise, United States TS 6"IMMEDIATE Boise, United States TS 6"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States TS 6"IMMEDIATE Boise, United States TS 8"IMMEDIATE Lujhu Township, Taiwan MH 8"

#VALUE! Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"

#VALUE! Lujhu Township, Taiwan MH 8"#VALUE! Lujhu Township, Taiwan MH 8"#VALUE! Lujhu Township, Taiwan MH 8"#VALUE! Lujhu Township, Taiwan MH 8"

IMMEDIATE Lujhu Township, Taiwan MH 8"15 Oct 2013 , Singapore MH 12"15 Jul 2013 , Singapore MH 12"

IMMEDIATE Boise, United States PJ n/aIMMEDIATE Boise, United States PJ n/aIMMEDIATE , Singapore PJ 8"IMMEDIATE , Singapore PJ 8"IMMEDIATE , Singapore PJ 8"IMMEDIATE , Singapore PJ 8"IMMEDIATE , Singapore PJ 8"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Lehi, United States TS n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/a

Page 90: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/a

Page 91: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/a

Page 92: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/a

Page 93: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/a

Page 94: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/a

Page 95: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/a

Page 96: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Cheonan City, Korea, South MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE Boise, United States MH n/aIMMEDIATE Boise, United States MH n/aIMMEDIATE Taoyuan, Taiwan PJ 12"IMMEDIATE Taoyuan, Taiwan PJ 12"IMMEDIATE Muar, Malaysia PJ n/aIMMEDIATE Muar, Malaysia PJ n/aIMMEDIATE Muar, Malaysia PJ n/aIMMEDIATE Boise, United States PJ 6"

Page 97: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Boise, United States PJ 6"IMMEDIATE E.Fishkill, United States PJ 8"IMMEDIATE PJIMMEDIATE PJIMMEDIATE PJIMMEDIATE Boise, United States MH n/aIMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE Boise, United States TS 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"

Hopewell Junction, United StatesHopewell Junction, United StatesHopewell Junction, United States

Page 98: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Boise, United States PJ 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE Austin, United States PJIMMEDIATE Austin, United States MH 8"IMMEDIATE Boise, United States PJ n/aIMMEDIATE Sunnyvale, United States PJ n/aIMMEDIATE San Jose, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 6"IMMEDIATE San Jose, United States MH 12"

22 Jul 2013 Manassas, United States MH 12"01 Jan 2014 , Singapore MH 12"

Page 99: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Lehi, United States MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Nampa, United States MH 8"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States TS n/aIMMEDIATE , Netherlands TS n/aIMMEDIATE Boise, United States MH 6"IMMEDIATE Dresden, Germany TS

14 Aug 2013 Manassas, United States MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Lujhu Township, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 12"IMMEDIATE , Netherlands MH 8"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 8"

Page 100: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE , Netherlands MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE , Netherlands MH 6"IMMEDIATE , Netherlands MH 6"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE , Netherlands MH 8"IMMEDIATE Boise, United States TS n/aIMMEDIATE Austin, United States PJIMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States TS n/aIMMEDIATE Dresden, Germany MH n/aIMMEDIATE Muar, Malaysia PJ 8"IMMEDIATE E.Fishkill, United States PJIMMEDIATE San Jose, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

Page 101: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE , Netherlands MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Essex Junction, United States JD n/aIMMEDIATE Williston, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ 12"IMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Richmond, United States MH n/aIMMEDIATE Boise, United States PJ n/aIMMEDIATE Boise, United States PJ n/aIMMEDIATE Lehi, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"

Page 102: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE San Jose, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE , Singapore MH n/aIMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Nampa, United States MH 8"IMMEDIATE Nampa, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Boise, United States PJ n/aIMMEDIATE Taoyuan, Taiwan MH n/aIMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE E.Fishkill, United States MH n/aIMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Boise, United States PJ 6"IMMEDIATE Lujhu Township, Taiwan MH 8"

Page 103: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Austin, United States PJ 8"IMMEDIATE Boise, United States PJ 8"

01 Aug 2013 , Singapore MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Sunnyvale, United States MH 12"IMMEDIATE Penang, Malaysia PJIMMEDIATE Penang, Malaysia PJIMMEDIATE Taoyuan, Taiwan TS n/aIMMEDIATE Dresden, Germany MH 12"IMMEDIATE Dresden, Germany MH 12"IMMEDIATE Dresden, Germany MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Boise, United States PJ 8"IMMEDIATE Boise, United States PJ 12"IMMEDIATE San Jose, United States MH n/aIMMEDIATE San Jose, United States MH n/aIMMEDIATE Austin, United States MH 8"IMMEDIATE San Jose, United States MH 12"IMMEDIATE Sunnyvale, United States MH n/aIMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"

Page 104: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Cheonan City, Korea, South PJIMMEDIATE Cheonan City, Korea, South PJIMMEDIATE Cheonan City, Korea, South PJIMMEDIATE Carlsbad, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJ n/aIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Boise, United States MH 6"IMMEDIATE Manassas, United States MH 12"IMMEDIATE Boise, United States MH n/aIMMEDIATE San Jose, United States MH 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"

Page 105: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE San Jose, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Cheonan City, Korea, South MH 8"IMMEDIATE Taoyuan, Taiwan TS 12"

15 Oct 2013 , Singapore TS 12"IMMEDIATE , Singapore TS 12"IMMEDIATE , Singapore TS 12"

29 Aug 2014 , Singapore TS 12"IMMEDIATE , Singapore TS 12"IMMEDIATE Richmond, United States TS 8"IMMEDIATE Richmond, United States TS 8"IMMEDIATE Richmond, United States TS 8"IMMEDIATE Richmond, United States TS 8"

16 Nov 2013 , Singapore TS 12"05 Nov 2013 , Singapore TS 12"25 Dec 2013 , Singapore TS 12"15 Dec 2013 Taoyuan, Taiwan TS 12"

IMMEDIATE Taoyuan, Taiwan MH 12"

Page 106: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE E.Fishkill, United States MH 12"IMMEDIATE MH 12"IMMEDIATE San Jose, United States MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE , Singapore MH 12"

07 Sep 2013 , Singapore MH 12"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Lujhu Township, Taiwan MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Boise, United States MH 8"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"

Hopewell Junction, United States

Page 107: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Folsom, United States PJIMMEDIATE Nampa, United States PJ 8"IMMEDIATE Nampa, United States PJ 8"IMMEDIATE Boise, United States TS 6"IMMEDIATE Boise, United States TS 8"IMMEDIATE , Singapore MH 12"IMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE E.Fishkill, United States PJ n/aIMMEDIATE Lujhu Township, Taiwan TS 8"IMMEDIATE , Singapore MH 12"IMMEDIATE , Singapore MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Taoyuan, Taiwan MH 12"IMMEDIATE Richmond, United States MH 8"IMMEDIATE Boise, United States TS n/aIMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Boise, United States MH 6"IMMEDIATE Poughkeepsie, PJIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJ n/aIMMEDIATE San Jose, United States PJ n/aIMMEDIATE San Jose, United States PJ n/aIMMEDIATE San Jose, United States PJ n/a

Page 108: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

IMMEDIATE San Jose, United States PJ n/aIMMEDIATE San Jose, United States PJIMMEDIATE San Jose, United States PJIMMEDIATE Boise, United States PJ n/aIMMEDIATE Boise, United States PJ n/aIMMEDIATE Essex Junction, United States TS

Page 109: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes6, 8, 12 Yes6, 8, 12 Yes6, 8, 12 Yes6, 8, 12 Yes8, 12 Yes

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not

Comp Wafer Size

300mm Comp

Page 110: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a No

12 Yes12 Yes12 Yes

8 No8 No

8" No

12" Yes12" Yes12" Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes

Page 111: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes

12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes

Page 112: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes8 No4, 5, 6, 8 No8 No

4, 5, 6, 8 No8 No4, 5, 6, 8 No4, 5, 6, 8 No4, 5, 6, 8 No4, 5, 6, 8 No8 No8 No

8 No

8, 12 Yes8, 12 Yes12 Yes8, 12 Yes8" No12" Yes

Page 113: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6", 8" No

12 Yes12 Yes

8" No

8, 12 Yes12 Yes8", 12" Yes8", 12" Yes12" Yes

12" Yes

12" Yes12" Yes

8", 12" Yes12 Yes12 Yes

Page 114: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes12" Yes8" No8" No

8 No8" No

12" Yes12" Yes

8 No12 Yes12 Yes12 Yes12 Yes12 Yes12" Yes12", 8" Yes12" Yes

8 No8 No8 No8 No

Page 115: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No8 No

8" No4, 6, 8 No

2, 4, 6, 8, 12 Yes

12" Yes

Page 116: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8" No8" No8" No8" No8" No8" No8" No8" No8" No8 No

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 117: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 118: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 119: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 120: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 121: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 122: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a Non/a No

Page 123: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a No12" Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes

Page 124: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

6", 8" No

8" No

8 No6, 8 No8" No8" No8" No6, 8 No6, 8 No6, 8 No6, 8 No8" No6, 8 No12" Yes

Page 125: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

4, 6, 8 No6", 8" No6", 8" No6", 8" No6", 8" No6", 8" No6", 8" No

8" No

4, 6, 8 No4, 6, 8 No12 Yes12" Yes12 Yes8" No8" No6, 8, 12 Yes8, 12 Yes8, 12 Yes

8, 12 Yes8, 12 Yes12 Yes12 Yes12 Yes12 Yes8" No12 Yes

Page 126: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12" Yes12" Yes12" Yes12" Yes12", 8" Yes

6", 8" No8" No6", 8" No8 No12" Yes4, 6, 8 No4, 6, 8 No12 Yes8" No6", 8", 12" Yes

6 No8" No

8" No

12 Yes12 Yes12" Yes12 Yes12 Yes12" Yes

8, 12 Yes12 Yes8" No

Page 127: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8 No

8 No8 No8" No8" No

4, 6, 8 No4, 6, 8 No

n/a No

8" No

Page 128: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

n/a Non/a Non/a Non/a Non/a No

12" Yes12 Yes12 Yes12 Yes12 Yes12 Yes

6" No8" No8" No

8" No8" No

Page 129: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8" No8" No

8" No8 No12" Yesn/a No6, 8" No6", 8" No6", 8" No6", 8" No6", 8" No8" No

8" No

n/a No8, 12 Yesn/a No

8" No6" No6" No

8 No

Page 130: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8, 12 Yes6, 8, 12 Yes

12 Yes12 Yes12" Yes

12 Yes12 Yes12 Yes12 Yes

12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12 Yes12" Yes

n/a Non/a No8 No12" Yesn/a No8" No8" No8" No8" No

Page 131: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8" No8 No8 No8 No8 No8 No

6, 8, 12 Yes8" No

8" No8" No8" No8" No8" No8" No

Page 132: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

8" No8" No8" No8" No

8" No8" No8" No

8" No8" No8" No

12 Yes

Page 133: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes12 Yes

12 Yes12 Yes12 Yes12" Yes12" Yes12 Yes

8 No8 No8 No8 No

8" No8" No8" No8" No8" No12 Yes12 Yes12" Yes

Page 134: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

12 Yes12 Yes12 Yes12 Yes12" Yes

8" No

12 Yes

8, 12 Yes8, 12 Yes8, 12 Yes8" No

Page 135: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature
Page 136: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Details

(1) Alcatel ADS-1202H Dry Pump(1) Alcatel ADS-1202H Dry Pump(1) Alcatel ADS-1202H Dry Pump(1) Alcatel ADS-1202H Dry Pump(1) Alcatel ADS-1202H Dry Pump

This equipment list is provided to you on a strictly confidential basis and contains commerically sensitive and valuable information. No part of its content may be provided to any person without our prior written consent. This equipment list is not an offer capable of acceptance. The information contained in this equipment list is, to our knowledge and information, accurate, but it may contain typographical errors and we do not

• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: Feb 2005• Currently Configured for 300mm wafer sizes• MFG Date: May 2005• Currently Configured for 300mm wafer sizes• Install Type: Stand-Alone

• Currently Configured for 200mm Wafer Sizes• Configurable for 200-300mm Wafer SizesAdvantest M6751AD Memory Test HandlerTarget Package: TSOP, SOP, SOJ, QFP, BGA, CSPWafer Probe Motherboard, was interfaced to Accretech UF3000 probersFull Head Probe Configuration, Two Stations3712CH (2048DR, 1280CH I/O, 128CH DC) / STNFull Head Probe Configuration, Two Stations3712CH (2048DR, 1280CH I/O, 128CH DC) / STN

• T5377S Mainframe with Single, High Capacity Test Head

T5383 6144CHX1STN(WHITHOUT PPS) na 11.TEST STATION (6144 ch Test Head)T5383 6144CHX1STN(WHITHOUT PPS) na 11.TEST STATION (6144 ch Test Head)T5581H Mainframe with 2 Full (1600-ch) Type D Stations (test heads)For burning-in and testing state-of-the-art memory devices, including Flash, SDR, DDR, RDRAM, Static, ASIC and Embedded memoriesFor burning-in and testing state-of-the-art memory devices, including Flash, SDR, DDR, RDRAM, Static, ASIC and Embedded memories(1) Alcatel ADS1202H Dry PumpCE Marked(1) Alcatel ADS1202H Dry PumpCE Marked(1) Alcatel ADS1202H Dry PumpCE Marked

Page 137: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Alcatel ADS-1202H Dry Pump

Refurbished tool - contact for quoteRefurbished tool - contact for quoteRefurbished tool - contact for quoteRefurbished tool - contact for quote

3V-12V Battery Operated Portable Lift150 lbs Load Limit3V-12V Battery Operated Portable Lift110 lbs Load Limit3V-12V Battery Operated Portable Lift800 lbs Load Limit

• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2006300mm notch2006 vintage300mm notch2006 vintage300mm notch2007 vintage300mm notch2007 vintage•200mm notch•1997 vintage•200mm notch•1998 vintage•200mm notch•1997 vintage• Currently Configured for 200mm Wafer Sizes• MFG Date: 1998• Install Type: Through the Wall• Cassette Interface:• Currently Configured for 200mm Wafer Sizes • Install Type: Through the Wall

• 200mm notch• 1998 vintage• Currently configured for 300mm wafer sizes• MFG Date: 2010

• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2007• Currently Configured for 300mm wafer sizes• MFG Date: 2004

AMAT 300mm DPS II Polysilicon Etch - Chambers (4) OnlyAMAT 300mm DPS II Polysilicon Etch - Chambers (4) Only• Currently Configured for 300mm wafer sizes• MFG Date: 2004

Page 138: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

AMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber only

• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2007• Currently Configured for 300mm wafer sizes• MFG Date: 2007• Currently Configured for 300mm wafer sizes• MFG Date: 2007• Currently Configured for 300mm wafer sizes• MFG Date: 2007• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2006

• Currently Configured for 300mm wafer sizes• MFG Date: 2004

• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2004• MFG Date: 2005• Install Type: Stand-Alone• MFG Date: 2006• Install Type: Stand-Alone

Page 139: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

AMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber onlyAMAT 300mm HART III - Chamber only

(1) AMAT DPS+ Poly Chamber Only

• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 200mm Wafer Sizes• MFG Date: May 1998Applied Materials Centura DPS Poly R1 Etch SystemSN 9451(1) AMAT DPS Poly R1 Chamber Only2Ch - HR DTCU, VHP+, Centura 2 Platform• Configured for 200mm wafers• Currently Configured for 200mm Wafer Sizes• MFG Date: 1997

Applied Materials Centura II Super-EOxide Etch System• Currently Configured for 200mm Wafer Sizes• MFG Date: 1997• Currently Configured for 200mm Wafer Sizes• MFG Date: 1999• Currently Configured for 200mm Wafer Sizes• MFG Date: 1999• Currently Configured for 200mm Wafer Sizes• MFG Date: Jun 1997Applied Materials CenturaMxP Poly / Super-E Poly Etch System

•200mm notch•2000 vintage• 200mm notch• 2000 vintageApplied Materials Compass ProDarkfield Wafer Inspection SystemApplied Materials Compass ProDarkfield Wafer Inspection System

Applied Materials ComPLUS MPWafer Inspection System(1) 8" AMAT ComPLUS MP Darkfield Inspection System•300mm•2006 vintage

Page 140: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Applied Materials NanoSEM 3DApplied Materials NanoSEM 3D

Applied Materials 300mm TxZ CVD TiN chamber (x2)300mm notchApplied Materials 300mm TxZ CVD TiN chamber (x2)300mm notchApplied Materials 300mm TxZ CVD TiN chamber (x2)300mm notch• Currently configured for 300mm wafer sizes• MFG Date: TBD• Currently configured for 300mm wafer sizes• MFG Date: TBD

• Currently Configured for 300mm Wafer Sizes• MFG Date: 2003•Currently Configured for 200mm and 300mm Wafer Sizes

• Currently configured for 300mm wafer sizes• MFG Date: TBD

AMAT Producer SE M/F Only, no Process chambersFactory Interface (FI) is included

* 300mm Radiance Chamber Only• Currently configured for 300mm wafer sizesApplied Materials Radiance Plus RTP Chamber OnlySN N/A

• Currently Configured for 300mm wafer sizes• Tool Install Date: 2006• Currently Configured for 300mm wafer sizes• Tool Install Date: 2006

Page 141: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Includes Qty. 1 Cymer ELS-6600 Laser

• Currently Configured for 300mm wafer sizes• Tool Install Date: 2006• Currently configured for 300mm wafer sizes• MFG Date: 2002System has the following major specifications: o 6” Wafers (field upgradeable to 8”)System has the following major specifications: o 6” Wafers (field upgradeable to 8”)

System has the following major specifications: o 200 mm WafersSystem has the following major specifications: o 200 mm Wafers300 mm Wafers80 nm Resolution

(1) Asyst LPT-2200 Bolt-On SMIF Load-Port(Right-Hand, Vertical Movement config)(1) Asyst LPT-2200 Load-portRight-Hand Config300mmBrooks front end300mmMag 7 robot•200mm•Dual cassette nests• Currently configured for 300mm wafer sizes• MFG Date: 2003• Currently configured for 300mm wafer sizes• MFG Date: 2003

• Currently Configured for 200mm Wafer Sizes• MFG Date: 1997• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• Install Type: Stand-Alone• Currently Configured for 300mm wafer sizes• Install Type: Stand-Alone• Currently configured for 300mm wafer sizes• MFG Date: 2002• Currently configured for 300mm wafer sizes• Capable of processing 200 & 300mm wafer sizes• Currently configured for 300mm wafer sizes• MFG Date: 2002

(1) Brooks Ergospeed 3800 Load-portSLR 200 LPTSL/L(1) Brooks Ergospeed 3800 Load-portSLR 200 LPTSL/R(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTSL/R Interface(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTSL/L Interface

Page 142: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Cameca LEXFAB-300

(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTSL/R Interface(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTSL/L Interface(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTSL/L Interface(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR 200 LPTS/L Interface(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/L(1) Brooks ERGOSPEED 3800 SMIF Load-portLPTSL/M(1) Brooks ERGOSPEED 3800 SMIF Load-portSLP200 LPTSL/L(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/R(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/L(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/R(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/L(1) Brooks ERGOSPEED 3800 SMIF Load-portSLR200 LPTSL/R(1) Brooks ErgoSpeed II Bolt-On SMIF Load-portSLR200 LPTSM/L(1) Brooks ErgoSpeed II Bolt-On SMIF Load-portSLR200 LPTSM/R(1) Brooks ErgoSpeed II Bolt-On SMIF Load-portSLR200 LPTSM/L(1) Brooks ErgoSpeed II Bolt-On SMIF Load-portSLR200 LPTSM/R(1) Brooks ERGOSPEED II SMIF Load-portCE Marked(1) Brooks ERGOSPEED II SMIF Load-portCE Marked(1) Brooks ERGOSPEED II SMIF Load-portCE Marked(1) Brooks ERGOSPEED II SMIF Load-portCE MarkedBrowne & Sharpe Model 618 Automatic Surface Grinder

• Tool Type: Axiotron 8x8UV• MFG Date: Feb 1997

AP200DS BLUERAY DOUBLESIDE AUTOMATIC PROBER – PN147389CINCINNATI MILACRON HEALD, MODEL 261-16 ROTARY GRINDERReal Time X-Ray Imaging SystemX-ray systems with standard configurationsReal Time X-Ray Imaging SystemX-ray systems with standard configurations• Install Type: Stand-Alone

•Currently Configured for 300mm Wafer Sizes•MFG Date: 2007• Currently configured for 200mm wafer sizes• Tool used for Copper Process

Page 143: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm wafer sizes• MFG Date: 2001• Currently Configured for 200mm Wafer sizes• MFG Date: 1997

Disco DFL7160 Fully Automated Laser Dicing Saw, for thin wafers and other applications where chipping cannot be toleratedEbara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1999Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 2001

Page 144: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump

Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 2003Ebara A10S Dry PumpMfg Date: 2000Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 2000Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1998Ebara A10S Dry PumpMfg Date: 1997Ebara A10S Dry PumpMfg Date: 1997(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Backing Pump (Dry)(1) Ebara A10S Dry PumpLocal control module & pump interface box included(1) Ebara A10S Dry PumpLocal control module & pump interface box included

Page 145: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry Pump(1) Ebara A10S Dry PumpEbara A150-M Dry PumpMfg Date: 1997Ebara A150W-M Dry PumpMfg Date: 1997Ebara A150W-M Dry PumpMfg Date: 1998Ebara A150W-M Dry PumpMfg Date: 1997Ebara A150W-M Dry PumpMfg Date: 2001Ebara A150W-M Dry PumpMfg Date: 2000Ebara A150W-M Dry PumpMfg Date: 1998Ebara A150W-M Dry PumpMfg Date: 1997Ebara A150W-M Dry PumpMfg Date: 1997Ebara A150W-M Dry PumpMfg Date: 2000Ebara A150W-M Dry PumpMfg Date: 1999Ebara A150W-M Dry PumpMfg Date: 2000

Page 146: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump(1) Ebara A150W-M Dry Pump

(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump

Ebara A150W-M Dry PumpMfg Date: 1998Ebara A150W-M Dry PumpMfg Date: 1998

Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 1998Ebara A150W-T Dry PumpMfg Date: 2000Ebara A150W-T Dry PumpMfg Date: 1998Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 1997Ebara A150W-T Dry PumpMfg Date: 2005

Page 147: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-TDry Pump(1) Ebara A150W-TDry Pump(1) Ebara A150W-T Dry Pump(1) Ebara A150W-T Dry PumpEbara A30W Dry PumpMfg Date: 2000Ebara A30W Dry PumpMfg Date: 1998Ebara A30W Dry PumpMfg Date: 2000Ebara A30W Dry PumpMfg Date: 2006Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 2004Ebara A30W Dry PumpMfg Date: 2006Ebara A30W Dry PumpMfg Date: 2000Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 2003Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 1997

Page 148: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump

Ebara A30W Dry PumpMfg Date: 1997Ebara A30W Dry PumpMfg Date: 1997(1) Ebara A30W Backing Pump(1) Ebara A30W Backing Pump(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Backing Pump (Dry)(1) Ebara A30W Dry PumpNote: Pump was Decontaminated to a level of Safe-to-Transport(1) Ebara A30W Dry PumpNote: Pump was Decontaminated to a level of Safe-to-Transport(1) Ebara A30W Dry PumpNote: Pump was Decontaminated to a level of Safe-to-Transport(1) Ebara A30W Dry PumpNote: Pump was Decontaminated to a level of Safe-to-Transport(1) Ebara A30W Dry PumpLocal control module & pump interface box included(1) Ebara A30W Dry PumpLocal control module & pump interface box included

Page 149: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara A30W Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump

(1) Ebara A30W Dry PumpNote: Ebara had upgraded this pump from an A10S to an A30W

Page 150: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(1) Ebara AA10 Dry Pump(3) Ebara ET600WS Turbomolecular PumpsNote: Disassembled, non-functional - for parts only• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: Oct 2004• Currently Configured for 300mm wafer sizes• MFG Date: Apr 2005• Currently Configured for 300mm wafer sizes• MFG Date: Dec 2003• MFG Date: Oct 2004• Factory Interface (FI):• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2005• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2004• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2005• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2004• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: Mar 2006

100 Gallon Carbon Steel Vertical Holding Tank on Wheels100 Gallon Carbon Steel Vertical Holding Tank on Wheels100 Gallon Carbon Steel Vertical Holding Tank on Wheels600 Gallon Carbon Steel Holding TankTeflon PFA Lined(1) Edwards iL70 Backing PumpMFG Date: 2005(1) Edwards iL70 Backing PumpMFG Date: 2005(1) Edwards iL70 Backing PumpMFG Date: 2005(1) Edwards iL70 Backing PumpMFG Date: 2005(1) Edwards iL70 Backing PumpMFG Date: 2005(1) Edwards iL70 Backing PumpMFG Date: 2005

• Maximum Laser Pulse Energy - 0.5uJ• Default Label Language - English Only• Maximum Laser Pulse Energy - 0.5uJ• Default Label Language - English Only• ART Universal Platform • ART200 is an innovative, flexible, universally applicable testing platform • ART Universal Platform • ART200 is an innovative, flexible, universally applicable testing platform ART Universal Platform ART200 is an innovative, flexible, universally applicable testing platform EVG850DB Automated Debonding SystemWafer Processing System for Debonding, Cleaning and Unloading of Thin Wafers up to 200mm

Page 151: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) 8" Fusion 202MCU Stripper/Ash System

EVG850TB Automated Temporary Bonding SystemWafer Processing System for Coating, Bake and Bonding of Wafers up to 200mm• Ion Beam Performance: 1500 hr Gallium Ion source. 7nm resolution. Operating voltage of 5kV to 30kV. Beam current 1pA to 11nA •Cooling Capacity: 45,000 Btu/Hr @ 46C•Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Compressor•Cooling Capacity: 45,000 Btu/Hr @ 46C•Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Compressor•Cooling Capacity: 45,000 Btu/Hr @ 46C•Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Compressor

• Currently Configured for 200mm Wafer Sizes • Install Type: Through-the-WallNovellus / Gasonics PEP 3510+Dual Chamber High Throughput Downstream Novellus / Gasonics PEP 3510AStrip/Ash System• Currently Configured for 200mm Wafer Sizes• MFG Date: Dec 1996• Currently Configured for 200mm Wafer Sizes• MFG Date: Jan 1997• Currently Configured for 200mm Wafer Sizes• MFG Date: TBDNovellus / Gasonics PEP 3510ADual Chamber Downstream Photoresist Removal SystemNovellus / Gasonics PEP 3510ADual Chamber Downstream Photoresist Removal SystemNovellus / Gasonics PEP 3510ADual Chamber Downstream Photoresist Removal System• Currently Configured for 200mm Wafer Sizes• MFG Date: 1995• Currently Configured for 200mm Wafer Sizes• MFG Date: 1997• Currently configured for 300mm wafer sizes• MFG Date: 2002

• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers

Page 152: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

ATS1

• Model: IDScope IDS8N-25-NB-M12• For 8” Wafers• Currently Configured for 200mm Wafer Sizes• MFG Date: Mar 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001• Currently configured for 200mm wafer sizes• MFG Date: 2001•300mm•Dual FOUP•300mm•Dual FOUP

• Currently Configured for 200mm wafer sizes• MFG Date: TBDOriginal system bought in 1995 as a S-400.Parametric Tester, S400 Kinetic Systems 1200 SeriesModel - Vibraplane 1201-11-11• Currently configured for 6” to 8” wafer sizes• Manufacturer date: October, 1997• Currently Configured for 200mm Wafer sizes• MFG Date: Jan 1997• Currently configured for 300mm wafer sizes• MFG Date: 2006• Currently configured for 300mm wafer sizes• MFG Date: 2004• Main Unit: o 300mm Front-End Only• Currently Configured for 150 & 200mm wafer sizes• Capable of processing 150 - 300mm wafer sizes• Currently Configured for 200mm wafer sizes• MFG Date: 2003• Currently configured for 200mm wafer sizes• Capable of processing 150-300mm wafer sizes (requires HW change)• Currently configured for 200mm wafer sizes• Original Manufacture Date: March, 2002• Currently configured for 200mm wafer sizes• Original Manufacture Date: May, 2000•Currently Configured for 200mm and 300mm Wafer Sizes•Currently Configured for 200mm Wafer Sizes •Configurable for 200mm-300mm Wafer Sizes• Currently configured for 200mm wafer sizes• Original Manufacture Date: Oct, 2000• Currently configured for 200mm wafer sizes• Original Manufacture Date: January, 2000• Currently Configured for 300mm wafer sizes• MFG Date: 2003• Currently Configured for 300mm wafer sizes• MFG Date: 2005

• Currently Configured for 300mm Wafer Sizes• MFG Date: 2003

Page 153: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Refurbished tool - contact for quote

• Currently configured for 300mm wafer sizes• MFG Date: 2003• Currently configured for 300mm wafer sizes• MFG Date: 2004• Currently configured for 300mm wafer sizes• MFG Date: 2004• Currently configured for 300mm wafer sizes• MFG Date: 2008• Currently configured for 200 & 300mm wafer sizes• Capable of running smaller wafer sizes w/HW change

• Currently configured for 150mm wafer sizes• Capable of handling 200mm wafers• Currently configured for 200mm wafer sizes• MFG Date: 1996KLA-Tencor UV1080Originally UV1050 was upgrated to UV1080KLA-Tencor UV1280SEFilm Thickness Measurement System• Currently configured for 200 & 300mm wafer sizes• MFG Date: TBD• Currently Configured for 200mm Wafer Sizes• Configurable for multiple wafer sizes: 4”, 6”, 8”• Currently Configured for 200mm Wafer Sizes• Configurable for multiple wafer sizes: 4”, 6”, 8”

Left Cassette Station: 6" Right Cassette Station: 8" & 12" FOUP

• MFG Date: June 2003• CE Marked

•Process chamber oSpin cup rack

LAM 2300 Exelan FLEX Etch SystemSN 101543507170014• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• Application: Stack-Copper Process

(1) Lam 2300 Exelan Flex 45 Chamber OnlySN: 23F450127(F103269-PM1)

• Currently Configured for 300mm Wafers• Manufacture Date: 2000• Currently Configured for 300mm wafer sizes• MFG Date: Oct 2006LAM 2300 VersysNote: SMIF LPs included with system

Page 154: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Refurbished tool - contact for quote

Refurbished tool - contact for quoteRefurbished tool - contact for quoteRefurbished tool - contact for quoteRefurbished tool - contact for quoteRefurbished tool - contact for quote

• Currently Configured for 200mm Wafer Sizes• MFG Date: 2000

• Currently Configured for 200mm Wafers• MFG Date: Apr 1997• Currently Configured for 200mm Wafers• MFG Date: Dec 1996• Currently Configured for 200mm Wafers• MFG Date: Jun 1996• Currently Configured for 200mm Wafers• MFG Date: Jun 1997• Currently Configured for 200mm Wafers• MFG Date: Jun 1997

•9 GHz 40 GS/s 40 Mpts/Ch on 2 Ch; 6 GHz, 20 GS/s 20 Mpts/Ch on 4 ChLeica INM 200 / MVT 2080Inspection / Review WorkstationLeica INM 200 / MVT 2080Inspection / Review Workstation

• MFG Date: Dec 1997• Type: RES101 GVNLintec Model LTD-2500F/8SA Automatic Wafer Mounting SystemManufacturing Technology Inc. (MTI) MSS-816 Micro Slicing System•Manufactured 1997This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.•Manufactured 2007•200mm (easily changed to 150mm)•Manufactured 2007•200mm (easily changed to 150mm)• Manufactured 2007• 200mm (easily changed to 150mm)•Manufactured 2006•200mm (easily changed to 150mm)This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.•Currently Configured for 300mm wafer sizesMfg Date 2008Currently Configured for 300mm wafer sizesMfg Date 2008Currently Configured for 300mm wafer sizesMfg Date 2008Currently Configured for 300mm wafer sizesMfg Date 2008

Page 155: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

(1) Neslab HTD-1 Chiller(1) Neslab HTD-1 Chiller/Heat Exchanger(1) Neslab HTD-1 Chiller/Heat Exchanger(1) Neslab HTD-1 Chiller/Heat Exchanger(1) Neslab HTD-1 Chiller/Heat Exchanger

Pulled out of main tool on 11/29/11.

•Manufactured 2005•300mm (3x FOUP)

•Horizontal Centrifugal 40 HP Slurry Pump with 1200 RPM motor. Moore Precision Tools Model 3 Precision Jig GrinderTable Size: 11" X 24" 300mm FOUP Wafer StockerStorage Capacity: 44 FOUPs

512 Channel 50MHz VLSI Test System Includes:32x 16ch PE Cards, total of 512 channelsNordson Asymtek Millennium M-2000 Series Dispensing System

• Currently configured for 300mm wafer sizes• MFG Date: 2012• Currently configured for 300mm wafer sizes• MFG Date: 2010• Currently configured for 300mm wafer sizes• MFG Date: 2010• Currently configured for 300mm wafer sizes• MFG Date: 2010• Currently configured for 300mm wafer sizes• MFG Date: 2010300mm notch2003 vintage300mm notch2004 vintage•300mm notch•2004 vintage•300mm notch•2006 vintage

•300mm•Dual FOUP

• Shrink footprint• MAG 7 robot• Currently configured for 200mm wafer sizes• MFG Date: 1998• Shrink footprint• MAG 7 robot•1999 vintage•MTR 5 robot• 1999 vintage • 200mm • 2000 vintage • 200mm •2002 vintage •200mm •2005 vintage •200mm •2005 vintage •200mm

Page 156: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

•2002 vintage •200mm • 2003 vintage• 200mm2006 vintage200mm300mm notchSoftware Ver 5.511 B12300mm notchSoftware Ver 5.511 B12• Currently configured for 200mm Wafer Sizes• Install Type: Stand-Alone• Currently Configured for 200mm Wafer Sizes• MFG Date: Mar 1998• Currently configured for 300mm wafer sizes• MFG Date: 2003• Install Type: Table-Top/Bench• Tool Description:Olympus AL100-L8 Wafer Loader OnlyOpen CassetteOlympus AL100-L8 Wafer Loader OnlyOpen CassetteOlympus AL100-L8 Wafer Loader OnlyOpen CassetteOlympus AL100-L8 Wafer Loader OnlyOpen CassetteOlympus AL100-L8 Wafer Loader OnlyOpen Cassette FR3210 Single Cassette Inspection Station. * Including:

• Currently Configured for 200mm wafer sizes• MFG Date: 1995

• Tool PN: B3123015• Standard Specifications:• Capable of handling 200 & 300mm vertical furnace quartz-ware & SIC• MFG Date: 1985• Application: Liquid Hydrogen Bulk StorageProgressive System Technologies Model 1001107 6” Wafer SorterProgressive System Technologies Model 1001107 6” Wafer Sorter

Model 4150 DFF-4200siIncludes the Following: Model 4150 DFF-4200siIncludes the Following:

Progressive System Technologies model DP2200SI two stage Wafer SorterProgressive System Technologies model DP2200SI two stage Wafer SorterRaytex EdgeScanWafer Edge Inspection System

Page 157: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Custom Quartz Tube Cabinet

• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm Wafer Sizes• MFG Date: 2005•Laser Marking System•LME Starmarkz SS200mm Epi Polished Sapphire Wafers for LED Manufacturing

• Currently Configured for 300mm wafer sizes• MFG Date: 2003

• Currently configured for 300mm wafer sizes• MFG Date: 2003

• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2007SDI FAaSt 350Automated Film Analysis & Substrate Testing System•Device Type: Lead & BGA packages•Handling Type: Tray to Tape & Reel•Device Type: Lead & BGA packages•Handling Type: Tray to Tape & Reel

• Currently Configured for 300mm wafer sizes• MFG Date: 2005• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2003• Currently Configured for 300mm wafer sizes• MFG Date: 2003• Currently Configured for 300mm wafer sizes• MFG Date: 2004

• Currently configured for 300mm wafer sizes• MFG Date: 2004• Post-inspection and repair machine inspects the micro balls placed on 12 inch wafersSolder ball mounting machine for 12 inch wafer which transfers flux and mount balls on a wafer• Model: INR-341-56A• Manual included (Soft Copy version only)• Model: INR-341-60A-X20• Manual included (Soft Copy version only)• Wafer Capability up to 8” (200mm)• MFG Date: TBD• Currently Configured for 12” wafer sizes• MFG Date: 2004

AKRION - STEAG AWP Wet BenchSN 48190-011AKRION - STEAG AWP Wet BenchSN 46270-011AKRION - STEAG AWP Wet BenchSN 48110-011AKRION - STEAG AWP Wet BenchSN 48080-011

Page 158: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

AKRION - STEAG AWP Wet BenchSN 65830-011This tool is not crated but can be for an additional chargeThis tool is not crated but can be for an additional chargeThis tool is not crated but can be for an additional chargeThis tool is not crated but can be for an additional chargeThis tool is not crated but can be for an additional charge

•SX3100 Ambient/Hot Temperature Handler•Full Auto. Input Tray Stacker (300mm)• SX3100 Ambient/Hot Temperature Handler• Full Auto. Input Tray Stacker (300mm)•SX3100 Ambient/Hot Temperature Handler•Full Auto. Input Tray Stacker (300mm)uWave Double Height CAL DIB kitPN 806-447-01, includes:•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBh•Teradyne J750 Prober Docking System•Manufactured by ESMO GmBhQTY DESCRIPTION1 ULTRAFLEX RIGHT 24 SEMICONDUCTOR TEST SYST•Teradyne UltraFLEX Signal Delivery Tower•1620 pins•Teradyne UltraFLEX Signal Delivery Tower•1620 pinsTherma-Wave Opti-Probe 7340Film Thickness Measurement Tool

•2007 vintage•300mm• Silicon Nitride processing with 200 mm wafers• January 1997 D.O.M.• Configured for 200mm silicon wafer processing• Simple Dichlorosilane Silicon Nitride process•200mm•Vintage Jan. 1997•200mm•Vintage Apr. 1998•200mm•Vintage Nov. 1997200mmThis tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.

Page 159: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge• Alpha 8S-ZAF• Configured for 8” / 200mm• Currently Configured for 200mm open cassette operation•200mm•Vintage Jan. 1996•200mm•Vintage Sep. 1999This tool is not crated but can be for an additional chargeFurnace #1:Furnace #1:• Configured for 200mm silicon wafer processing• SOD (di-electric) cure / low temp Anneal process configuration• Configured for 200mm silicon wafer processing• SOD (di-electric) cure / low temp Anneal process configurationConfigured for 200mm silicon wafer processing SOD (di-electric) cure / low temp Anneal process configuration • Process configuration LPRO – Low Pressure Radical Oxidation (Rad Ox)•Currently Configured for Low Pressure Rad Ox ProcessingThis tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.•Currently Configured for Phosphorous Doped Poly Processing•Currently Configured for Phosphorous Doped Poly Processing•Currently Configured for Phosphorous Doped Poly Processing

This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.This tool is not crated but can be for an additional charge.

• Currently Configured for 300mm wafer sizes• MFG Date: 2006

Page 160: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

• Currently Configured for 300mm wafer sizes• MFG Date: 2006• Currently Configured for 300mm wafer sizes• MFG Date: 2006

• Currently Configured for 300mm wafer sizes• MFG Date: Nov 2004• Currently Configured for 300mm wafer sizes• Install Type: Stand-Alone• Currently configured for 300mm wafer sizes• MFG Date: 2009TEL Trias ALD Chamber Only• MFG Date: TBD• Install Type: Stand-Alone•300mm Wafers •Vintage 2008

• Currently Configured for 200mm Wafer Sizes• MFG Date: July 17 1997• Currently Configured for 200mm Wafer Sizes• MFG Date: Mar 1997• Currently Configured for 200mm Wafer Sizes• MFG Date: Nov 1997• Currently Configured for 200mm Wafer Sizes• MFG Date: TBD• Currently Configured for 200mm Wafer Sizes• MFG Date: TBD

• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently Configured for 300mm wafer sizes• MFG Date: 2004• Currently configured for 300mm wafer sizes• MFG Date: 2004

Page 161: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

TEL UW300ZTEL UW300Z

Entron-EX W300

* 2 - Indexer

• Currently Configured for 300mm wafer sizes• MFG Date: 2003• Currently Configured for 300mm wafer sizes• MFG Date: 2004

• Towa Y-PS Auto Vacuum Mold Machine• Two press configurationImageMaster PRO WAFERAutomated tray system 8 inchPart No. Description: 6-102-03.1 WaveMaster®-PRO WAFER

210 Gal Ceramic Lined Ball Mill Drum – XPThis is the drum only, does not include ball mill machineUS Stoneware Ceramic Ball MillWith 52 Gal CylinderUS Stoneware Ceramic Ball MillWith 52 Gal CylinderUS Stoneware Ceramic Ball MillWith 52 Gal CylinderUS Stoneware Ceramic Ball MillWith 52 Gal CylinderUS Stoneware Ceramic Ball MillWith 52 Gal Cylinder52 Gal Ceramic Lined Ball Mill DrumThis is the drum only, does not include ball mill machine52 Gal Ceramic Lined Ball Mill DrumThis is the drum only, does not include ball mill machine52 Gal Ceramic Lined Ball Mill DrumThis is the drum only, does not include ball mill machine

• Currently Configured for 300mm wafer sizes• Capable of running 200mm wafer sizes• Currently Configured for 300mm wafer sizes• Capable of running 200mm wafer sizesVeeco Dimension XAtomic Force Microscope

•Hewlett-Packard high speed engineering VLSI test systemAudio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)Audio/Video 8 Analog Card (AV8 / MCA)

Page 162: [XLS] · Web viewAdvantest M6751AD Memory Test Handler Target Package: TSOP, SOP, SOJ, QFP, BGA, CSP Simultaneous Capacity: Up to 128 Devices Throughput: 6000 Devices/hr Temperature

Audio/Video 8 Analog Card (AV8 / MCA)•P/N E9684•WDA High Speed Digitizer•P/N E9684•WDA High Speed Digitizer


Recommended