DISEÑO DIGITAL VHDL

Post on 26-May-2017

230 views 2 download

transcript

DISEÑO DIGITAL

CONVHDLM. C. ALEJANDRO

TRIUNFO CRUZ

QUE SIGNIFICA VHDL?VHDL = VHSIC + HDL.VHSIC = Very High Speed Integrated CircuitCircuitos Integrados de Muy Alta Velocidad

HDL = Hardware Description LanguageLenguaje de Descripción de Hardware

VHDL es un lenguaje textual de alto nivel que se utiliza para la descripción del hardware de los sistemas digitales. Las herramientas CAD toman descripciones VHDL para simular, sintetizar y verificar circuitos digitales.

¿Qué es VHDL?• VHDL es un lenguaje estándar que se emplea para la documentación, simulación, síntesis y verificación de sistemas digitales.• Los lenguajes de descripción de hardware, como el VHDL, facilitan la descripción de circuitos integrados digitales complejos.

¿Por qué VHDL?• Lenguaje estándar.• Soporte de las principales compañías proveedoras de herramientas CAD y EDA.• Flexibilidad de implementación en circuitos integrados: código VHDL es portable entre herramientas, aunque normalmente es necesario hacer ajustes según el dispositivo o la tecnología.• Es un lenguaje popular cuyo número de usuarios sigueaumentando.• Ventajas– Proceso de desarrollo más confiable y automatizado– Reducción de costo y tiempo de salida al mercado

VHDL: Orígenes y evolución• Desarrollado en los comienzos de los 80’s como un método para la descripción de sistemas electrónicos para el Departamento de Defensa de EE.UU. Su sintáxis es similar al lenguaje de programación Ada.• Fue estandarizado en 1987, bajo la norma IEEE 1076. En 1993 salió una revisión con algunas nuevas capacidades, manteniendo la compatibilidad con la norma original.• Es utilizado ampliamente en la industria y academia, sin embargo, otros lenguajes como SystemC y SystemVerilog están ganando mayor atención y popularidad.

¿Para qué sirve VHDL?• Comienzos de los 90’s : diseño de ASICs complejos, empleando herramientas de síntesis.• Mediados de los 90’s: diseño con lógica programable.• Se utiliza en la documentación así como en la simulación del sistema, y además se emplea para sintetizar la parte hardware del sistema digital.• Actualmente se emplea en el modelamiento de todo elsistema digital (hardware y software.)• Las herramientas de síntesis permiten implementar los circuitos sobre ASICs y FPLDs.

Limitaciones de VHDL• No permite describir sistemas analógicos. Sin embargo, ya se están desarrollando versiones análogas y mixtas.• No existe un estilo de descripción normalizado. Para síntesis se requiere ajustar los estilos disponibles a la capacidad de las herramientas CAD.• Es posible sintetizar lógica solo de un subconjunto dellenguaje. Las herramientas de síntesis no soportan los mismos subconjuntos, y existen a veces diferencias al mudar de herramientas.

EJECUCION CONCURRENTE Y EJECUCIÒN SECUENCIAL

Flujo de diseño en VHDL

SINTESIS

QUE TIENE UN MODELO EN VHDL

QUE TIENE UN MODELO EN VHDL

Estructura básica de un archivo fuente vhdl

Estructura básica de un archivo fuente vhdl

VHDL: ENTIDAD Y ARQUITECTURA

© 2005 – FA Davis

VHDL: ENTIDAD Y ARQUITECTURA

VHDL: ENTIDAD Y ARQUITECTURA

VHDL: ENTIDAD Y ARQUITECTURA

VHDL: DESCRIPCION COMPORTAMENTAL

VHDL: DESCRIPCION ESTRUCTURAL

VHDL: SUMADOR COMPLETO PARA DOS NUMEROS DE UN BIT

VHDL: SUMADOR COMPLETO PARA DOS NUMEROS DE UN BIT

VHDL: SUMADOR COMPLETO PARA DOS NUMEROS DE UN BIT

INDICE GENERAL DE LA SINTAXIS

VHDL: SEÑALES, VARIBLES Y CONSTANTES