+ All Categories
Home > Documents > %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý...

%yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý...

Date post: 06-Jul-2020
Category:
Upload: others
View: 1 times
Download: 0 times
Share this document with a friend
57
工學碩士學位論文 회전자 시정수의 변동을 고려한 유도전동기의 벡터제어 The Vector Control of Induction Motor Considering Rotor Time Constants Variation 2001年 12月 昌原大學校 大學院 電氣電子制御工學科 電氣工學專攻
Transcript
Page 1: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

工學碩士學位論文

회전자 시정수의 변동을 고려한

유도전동기의 벡터제어

The Vector Control of Induction Motor

Considering Rotor Time Constants Variation

2001年 12月

昌原大學校 大學院

電氣電子制御工學科 電氣工學專攻

白 潤 奕

Page 2: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

工學碩士學位論文

회전자 시정수의 변동을 고려한

유도전동기의 벡터제어

The Vector Control of Induction Motor

Considering Rotor Time Constants Variation

指導敎授 安 鎬 均

이 論文을 工學碩士學位論文으로 提出함

2001年 12月

昌原大學校 大學院

電氣電子制御工學科 電氣工學專攻

白 潤 奕

Page 3: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

白潤奕의 碩士學位 論文을 認准함

審査委員長 金 奎 卓 ㊞

審査委員 朴 勝 圭 ㊞

審査委員 安 鎬 均 ㊞

2001年 12月

昌原大學校 大學院

Page 4: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

목 차

제 1 장 서론 ........................................................................................ 1

1.1 연구의 배경............................................................................ 1

1.2 연구의 목적............................................................................ 3

1.3 논문의 구성...................................................................... 4

제 2 장 유도전동기의 벡터제어................................................. 5

2.1 유도전동기의 수학적 모델링........................................... 5

2.2 유도전동기의 벡터제어 이론......................................... 10

2.3 간접벡터제어........................................................................ 11

제 3 장 회전자 시정수 추정..................................................... 14

3.1 기존의 회전자 시정수 추정방법................................... 14

3.2 제안된 회전자 시정수 추정방법................................... 16

3.3 Space Vector PWM.......................................................... 19

3.4 변형된 전류 제어 스위칭 기법.................................. 27

제 4 장 시뮬레이션.......................................................................... 29

제 5 장 시스템의 구성 및 실험.............................................. 33

5.1 Hardware의 구성................................................................. 33

5.1.1 주제어회로................................................................... 33

5.1.2 게이트구동회로........................................................... 37

5.1.3 전력회로 및 전동기................................................... 38

5.2 소프트웨어의 구성........................................................ 39

5.3 실험결과.................................................................................. 43

Page 5: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

제 6 장 결론......................................................................................... 45

참고문헌.................................................................................................... 46

Abstract................................................................................................... 48

Page 6: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (
Page 7: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

그 림 목 차

그림 0. 3상 회전 좌표계 - 2상 고정 및 회전 좌표계의 변환 .................... 5

그림 0. 직류 전동기의 간략화된 모델 .............................................................. 10

그림 0. 간접 벡터의 페이저도 ............................................................................. 11

그림 0. 전동기와 연결된 인버터 회로 .............................................................. 19

그림 0. mode별 전압 벡터도 ............................................................................... 20

그림 0. mode 1에서의 전압벡터 ......................................................................... 21

그림 0. A상의 상전류가 감소하는 점 구간의 스위치 형태 .................... 27

그림 0. A상의 상전류가 상승하는 점 구간의 스위치 형태 .................... 28

그림 0. 50 RPM에서의 속도 응답 ..................................................................... 30

그림 0. 100 RPM에서의 속도 응답 ................................................................. 31

그림 0. 150 RPM에서의 속도응답 ................................................................... 31

그림 0. 정속구간 (900 RPM)에서의 각 상의 상전류 파형 ....................... 32

그림 0. 주제어 회로 블록도 .............................................................................. 35

그림 0. 주제어 회로의 실제 형태 .................................................................... 35

그림 0. Mux 및 A/D converter ....................................................................... 36

그림 0. 전류신호 입력부 아날로그 회로 ........................................................ 36

그림 0. 게이트 구동회로 블럭도 ...................................................................... 37

그림 0. 게이트 구동 회로 ................................................................................... 37

그림 0. 실험에 사용한 유도전동기 및 드라이브 .......................................... 38

그림 20. Main Loop 신호처리 흐름도 .............................................................. 39

그림 21. Speed Control loop 신호처리 흐름도 .............................................. 40

그림 22. Current Control Loop의 신호처리 흐름도 ............................... 41

그림 0. 회전자 시정수 추정을 위한 역기전력의 측정방법 ....................... 42

Page 8: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

그림 0. 정속구간 900 RPM 에서의 A상 상전류 파형

및 측정된 역기전력 ...................... 43

그림 0. 정속구간 900 RPM 에서의 B상 상전류 파형 ............................... 43

그림 0. gain tuning 후 속도 응답 특성 (900 RPM) .................................. 44

표 목 차

표 0. 전압 벡터별 스위치 함수 ............................................................ 20

표 2. 유도전동기 및 구동 시스템 제원 .......................................................... 29

Page 9: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 1 -

제 1 장 서 론

1.1 연구의 배경

유도전동기 (Induction Motor)는 구조가 간단하고 유지 및 보수가 거

의 필요 없고 강인한 내구성을 지니며 가격 또한 저렴하여 산업현장의

각종 기계의 주 동력원으로 이용되고 있다. 또한, 전력용 반도체의 발달

과 마이크로 프로세서의 출현으로 그 발전속도가 점차 가속화되기 시작

하 으며 1980년대 이후 DSP(Digital Signal Processor)의 응용으로 제

어장치의 고속연산과 보다 정 하고 디지털화 되는 방향으로 발전하

고, 이후 1990년대에 이르러 전력 반도체에 있어서도 IGBT(Insulated

Gate Bipolar Transistor), IPM(Intelligent Power Module) 등 저 손실,

고속 스위칭, 저 가격으로 보다 높은 신뢰성을 가지고 소형 경량화, 저

소음의 전력회로를 구성할 수 있는 방향으로 발전하여 왔다.[3] 따라서,

최근 산업전반에 걸쳐서 유도 전동기의 활용이 점차 확대되고 있으며,

특히 구동장치로 벡터제어 (Field-Oriented Control) 시스템이 널리 적

용되고 있다.

유도전동기의 벡터제어는 전동기 자속의 위치와 크기를 알아내어 고

정자 전류를 자속 성분과 토크 성분으로 각각 분리 독립 제어함으로써

유도전동기의 과도 응답 특성을 개선하고 빠른 응답특성을 가지게 한

다. 이러한 벡터 제어는 크게 자속의 크기와 위치를 직접 측정하거나

자속추정기를 통하여 그 정보를 알아내는 직접 벡터제어와 전동기의 회

전자 자속위치를 추정하여 제어하는 간접 벡터제어로 분류된다. 직접

벡터제어는 전동기의 매개변수에는 크게 의존하지 않으나 저속 역에서

의 자속의 측정 또는 추정의 불안정성과 구현의 어려움으로 인해 최근

Page 10: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 2 -

까지 간접벡터 제어가 표준으로 사용되고 있다.

간접 벡터 제어는 구현하기에는 간단하나 자속의 위치를 계산하는데

회전자 정수를 분모로 하는 이득을 갖는 슬립각속도를 이용함으로써 전

동기 회전자 시정수에 크게 의존한다. 슬립계산기에서 회전자 시정수의

변화는 자속의 위치를 추정하는데 있어 오차를 가지게 한다. 이로 인해

정상상태 및 과도상태의 제어 성능을 저하시켜 토크 맥동이 발생한다.

Page 11: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 3 -

1.2 연구의 목적

앞서 기술한 회전자 시정수는 자속의 크기에 따라 변화하는 회전자

인덕턴스와 온도에 관계되어 변화하는 회전자 저항으로 구성된다. 이들

중 회전자 인덕턴스는 일정 자속 제어에서는 거의 일정한 값인데 비해,

회전자 저항은 전동기 운전으로 인한 발열 및 주변 온도의 상승에 따라

점진적으로 변화하여 시스템 성능을 저하시킨다. 따라서 이러한 회전자

시정수의 변화, 특히 회전자 저항의 변화를 알아내어 on-line tuning 할

수 있는 제어기에 관한 연구가 진행되어 왔다. [6,7],[11,12]

그러나, 대부분의 방법은 실제 적용에 알맞지 않은 복잡한 수학 연산

을 필요로 한다. 또, 어떤 방법들은 모터 권선에 고조파 신호를 주입하

기도 하는데, 하드웨어의 비용과 복잡함을 증가시킨다. Model

Reference Adaptive Control (MRAC)를 이용한 접근은 회전자 시정수

의 on-line 튜닝에 많이 적용되어 왔으나 이 방법의 경우 응답 속도가

느리고 부하 변동에 민감한 단점을 가진다. [11][12]

따라서, 본 논문에서는 앞서 기술한 방법의 단점들을 가지지 않고 간

단하면서 신뢰성있는 회전자 시정수의 추정방법을 제시하고자 한다. 구

현된 방법은 모터의 한 상의 유기 기전력을 측정하기 위하여 변형된 전

류 제어 스위칭 기법을 이용한다. 본 논문에 사용하는 스위칭 기법은

한 상 전류의 점(zero crossing)을 검출하여 일정 구간 동안 한 상의

상. 하 스위치 모두를 오프 시켜 상전류의 값을 ‘0‘ 혹은 상수의 값으로

유지시키는 방법을 사용한다. 변형된 전류 제어 스위칭 기법으로 측정

된 상전압은 회전자 시정수를 업데이트하는데 사용된다.

Page 12: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 4 -

1.3 논문의 구성

본 논문의 구성은 다음과 같다. 1장 서론, 2장 유도전동기의 벡터제

어, 3장 회전자 시정수의 추정, 4장 시뮬레이션, 5장 시스템 구성 및 실

험, 6장 결론의 총 6장으로 구성되어 있으며, 제 1장 서론에서는 본 논

문의 연구배경 및 목적과 논문의 구성에 관하여 기술하 다. 제 2장에

서는 유도전동기의 벡터제어 중 전동기의 수학적 모델링 및 간접벡터제

어에 관하여 기술하 으며 제 3장 회전자 시정수의 추정에서는 기존의

시정수 추정방법과 제안된 회전자 시정수 추정의 구체적인 방법을 제시

하 으며, 제 4장에서는 MATLAB Simulink를 이용한 시뮬레이션을 통

하여 제안된 이론의 타당성을 검증하 으며, 제 5장에서는 실제 실험을

통하여 얻어낸 결과를 실었으며, 끝으로 6장에서는 본 논문의 결론을

기술하 다.

Page 13: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 5 -

제 2 장 유도전동기의 벡터제어

2.1 유도전동기의 수학적 모델링

유도전동기의 가변속 제어를 하기 위해서는 유도전동기의 동특성을

고려하여야하는데 유도전동기의 동특성은 회전자와 고정자가 서로 연관

되어 있으므로 직류기에 비해 매우 복잡하다. 따라서 직류전동기에서

계자 자속과 전기자 자속을 별도로 제어하고 전기자의 전류가 브러시와

정류자에 의해 효과적으로 계자 자속을 단속할 수 있는 기하학적인 9

0°를 유지시켜 주는 것과 동일하게 유도전동기의 경우에도 고정자에

입력되는 전류를 회전하는 자속과 토오크를 발생시키는 벡터성분으로

분리하고 이것을 서로 비간섭의 조건인 90°를 유지시켜 줌으로써 직류

전동기에서와 동일한 순시 토오크를 발생하는 특성을 가질 수 있도록

제어하는 벡터제어(Field-Oriented Control) 를 적용하는 것이 효과적이

다. 벡터제어를 적용하기 위해서는 유도전동기의 3상 시스템을 2축 시

스템으로 변화시키는 것이 일반적이다.

as

bs

cs

120

120

θr

q

dsd

qs

we

그림1. 3상 회전 좌표계 - 2상 고정 및 회전 좌표계의 변환

Page 14: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 6 -

2축 회전좌표계에서의 유도전동기 전압 방정식은 다음과 같이 표현할

수 있다.

v ds = r s i ds + ddt( λ ds ) - ω e λ qs (1)

v qs = r s i qs + ddt( λ qs) + ω e λ ds (2)

회전자 쇄교자속은

λ dr = L m ( i ds+ i dr )+ L lr i dr (3)

λ qr = L m ( i qs+ i qr )+ L lr i qr (4)

로 표현할 수 있다.

여기서,

i ds , i qs , v ds , v qs : 고정자 전류 및 전압

i dr , i qr , v dr , v qr : 회전자 전류 및 전압

r s , r r : 고정자, 회전자의 저항

λ ds , λ qs : 고정자 자속

λ dr , λ qr : 회전자 자속

ω e : 동기속도

L ls : 고정자 누설 인덕턴스

L lr : 회전자 누설 인덕턴스

L m : 상호 인덕턴스

이다.

Page 15: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 7 -

전동기가 ω r로 회전한다면 유도전동기의 회전자 전압 방정식은 다음

과 같이 표현된다.

v dr = r r i dr + ddt( λ dr) - ( ω e- ω r ) λ qr = 0 (5)

v qr = r r i qr + ddt( λ qr ) + ( ω e- ω r ) λ dr = 0 (6)

회전 좌표계에서 고정자, 회전자 전류 벡터 및 자속 벡터를 식 (7),

(8)의 변환식을 이용하여 고정좌표계로 변환하면 식 (9)∼(12)로 표현

가능하다.

[ ]i sdri sqr

= [ ]cosθ - sinθsinθ cosθ

[ ]i dri qr (7)

[ ]λ sdrλ sqr

= [ ]cosθ - sinθsinθ cosθ

[ ]λ drλ qr (8)

v sds = r s isds +

ddt( λ sds) (9)

v sqs = r s isqs +

ddt( λ sqs) (10)

0 = v sdr = r r isdr - ω r λ

sqr +

ddt( λ sdr) (11)

0 = vsqr = r r i

sqr + ω r λ

sdr +

ddt( λ

sqr) (12)

Page 16: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 8 -

여기서,

i sds , isqs , v sds , v

sqs : 정지좌표계에서의 고정자 전류 및 전압

i sdr , isqr , v sdr , v

sqr : 정지좌표계에서의 회전자 전류 및 전압

ω r : 전동기 축의 회전속도

이다.

또한, 고정자와 회전자의 d, q 축 쇄교자속을 표현하면

λ sds = L ls isds + L m ( i

sds + i

sdr) (13)

λsqs = L ls i

sqs + L m ( i

sqs + i

sqr) (14)

λ sdr = L lr isdr + L m ( i

sds + i

sdr) (15)

λ sqr = L lr isqr + L m ( i

sqs + i

sqr) (16)

이 되고, 여기서

λsds , λ sqs : 정지좌표계에서의 고정자 자속

λ sdr , λsqr : 정지좌표계에서의 회전자 자속

이다.

이 때 좌표 변환식에 사용된 θ는

θ = tan -1λ sqr

λsdr

(17)

Page 17: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 9 -

cosθ =λ sdr|λ|

(18)

sinθ =λ sqr|λ|

(19)

| λ| = λs 2

dr+ λs 2

dr (20)

으로 정의된다.

발생 토오크 및 회전자 전기 각속도는 다음과 같다.

T=32⋅P2⋅L m

L r⋅( λ sdr i

sqr-λ

sqr i

sdr) (21)

T e- T L = J ddtω m=

2P⋅J

ddtω r (22)

P, J : 극수 및 관성계수

Page 18: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 10 -

2.2 유도전동기의 벡터제어 이론

직류전동기에서 그림2와 같이 계자 자속과 전기자 자속을 별도로

제어하고 전기자의 전류가 브러시와 정류자에 의해 토크를 발생시

키는데 가장 효과적으로 계자 자속을 단속할 수 있는 기하학적인

90°를 유지시켜 주는 것과 같이 빠른 과도 응답 특성을 갖는 토오크

제어를 위해 유도전동기의 고정자전류를 자속각 기준으로 자속성분 전

류와 토오크 성분 전류를 분리시켜 독립적으로 제어하는 방식을 벡터제

어라고 한다. 벡터제어를 실현하기 위해서는 회전자 자속의 위치와 크

기를 알아야 하며 고정자 전류와 전압에 대한 기준축으로 회전자 자속

벡터를 사용한다.

벡터제어는 회전자 자속을 결정하는 방법에 따라 직접적인 자속의 검

출 혹은 출력 전압과 전류로서 자속을 추정하는 직접 벡터제어와 엔코

더나 리졸버를 이용하여 회전자 위치를 구하여 슬립각과 더해 회전자

자속의 위치를 결정하는 간접 벡터제어로 나누어진다. 간접 벡터 제어

는 슬립 각속도를 계산하여 이를 회전자 속도에 더하여 간접적으로 자

속 각속도를 구하여 제어하는 전향 보상 (Feed-forward) 방식이다.

그림 2. 직류 전동기의 간략화된 모델

Page 19: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 11 -

2.3 간접벡터제어

간접벡터제어는 자속센서가 필요없고 저속 및 속도에서의 운전이

가능하다는 등의 장점이 있지만 자속각 계산을 위하여 전동기 계수를

이용하여 슬립각을 계산하기 때문에 등가모델 내의 전동기 계수 변화는

전동기의 정상상태와 과도상태 모두의 제어 성능을 약화시킨다는 단점

이 있다.

그림3은 간접 벡터제어의 페이져도이다. ds, qs축은 고정자에 고정되어

있고 d-q축은 동기속도 ω e로 회전한다. 임의의 순간에서 ds축에 대한

q축의 사이각 θ e는 회전자각 θ r과 슬립각 θ sl의 합으로 얻어진다. 회

전자 자속이 d축에 위치한다고 한다면 자속성분 전류 ids와 토크성분 전

류 Iqs는 각각 d축과 q축에 정렬된다.

그림 3. 간접 벡터의 페이저도

Page 20: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 12 -

따라서 회전자축에 대하여 정리하면 식 (1), (2)와 같다. 따라서 식(3),

(4)를 idr ,iqr에 대하여 정리하여 식(1), (2)에 대입하면 식 (23), (24)를

얻을 수 있다.

ddtλ qr +

r rL r⋅ λ qr -

L m

L r⋅ r r⋅ i qs + ω sl⋅ λ dr = 0 (23)

ddtλ dr +

r rL r⋅ λ dr -

L m

L r⋅ r r⋅ i ds - ω sl⋅ λ qr = 0 (24)

ω sl = ω e- ω r (25)

q축의 회전자 자속이 ‘0’이 되게 제어가능하다면 d축 성분은 일정한

값을 가지게 되어 q축 전류성분으로만 토오크를 제어 할 수 있다. 따라

서, 일정 자속제어를 구현한다면 아래의 식이 성립된다.

λ qr =ddtλ qr = 0, λ dr = λ r= constant,

ddtλdr = 0

(26)

따라서, 위 두 식을 조합하면 식 (27)과 같은 결과를 얻을 수 있다.

λ r = L m⋅ i ds (27)

ω sl =L m

λ r⋅r rL r⋅ i qs =

r rL r⋅i qsi ds

(28)

Page 21: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 13 -

따라서, 자속성분 전류 ids는 회전자 자속 λr에 의하여 결정되어 일정하

게 유지되며 토오크 성분 전류 Iqs 와 슬립각속도 ω sl의 관계는 식 (28)

과 같다. 따라서 발생된 슬립 각속도는 식 (29)와 같이 엔코더에 의해

측정된 회전자의 각속도와 전향보상 형식으로 더해져서 필요한 전기각

속도를 만들 수 있다.

θ e =⌠⌡

t

0ω e dt =

⌠⌡

t

0( ω r+ ω sl ) dt (29)

한편, λ qr= 0 이고, λ dr = λ r 이므로 토오크 수식은 다음과 같이

표현 할 수 있다.

T e =32⋅p2⋅L m

L r⋅ i qs⋅ λ r (29)

Page 22: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 14 -

제 3 장 회전자 시정수 추정

3.1 기존의 회전자 시정수 보상 방법

유도전동기의 회전자의 저항 Rr은 자속의 크기와 관계없고 운전시간

이나 속도에 기인한 발열로 인한 온도 상승에 관계된 값인 반면, 회전

자 인덕턴스 Lr은 자속의 크기에 따라 변하고 온도에는 무관한 값이다.

일반적인 간접 벡터제어시에는 전동기의 자속 준위는 일정하게 유지되

고 포화 또는 부족여자가 되지 않는다고 가정하면 회전자 인덕턴스의

변화는 미미하다고 볼 수 있다.

농형 유도전동기의 회전자 전류 및 전압은 측정이 불가능하므로 고정

자 전류, 전압으로부터 회전자 저항값에 관한 정보를 유추하여야 한다.

이러한 방법의 일환으로 정지 상태에서 특정신호를 인가 후 고정자 전

류 또는 전압의 변동을 관찰하여 회전자 시정수를 추정하는 연구가 이

루어져왔다. 이러한 방법들은 구현이 비교적 간단하여 회전자 시정수를

알지 못하는 전동기의 회전자 시정수의 초기화 목적으로 사용될 수 있

다. 그러나 다른 정수의 값이 회전자 시정수의 추정에 사용되며 인가된

특정 신호에 의한 표피효과와 측정하는 전류 및 전압의 잡음으로 인해

그 정확성은 크게 만족스럽지 못한 편이다.

또 다른 회전자 시정수 추정 방식으로는 1992년 Li-Cheng Zai 등에

의해 제안된 확장칼만필터(Extended Kalman filter)를 사용하는 방법이

있다. 이 방식에서는 전동기 입력전압이 PWM으로 인해 잡음 입력 특

성을 가진다는 점을 이용하여 회전자 시정수의 역수와 전동기 고정자

및 회전자 전류를 상태변수로 설정하여 회전자 시정수를 추정하고 있

다. 또한 이 방식은 마이크로프로세서를 이용하여 디지털로 구현이 가

Page 23: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 15 -

능하며 이를 통해 온라인으로 회전자 시정수를 추정할 수 있다.[11] 그러

나 상태 방정식에 포함된 다른 변수의 변동이 추정에 향을 미칠 수

있으며 수렴 속도가 매우 느리다는 단점이 있다.

한편, 1991년 Rowan 등에 의해 Model Reference Adaptive Control

(MRAC) 이 사용된 온라인 회전자 시정수 추정방식이 제안되었는데,

이 중 동기좌표계 고정자 d축 전압을 이용하는 방식은 다른 정수의 변

동에도 추정 결과가 비교적 강인하고 회전자 시정수의 변동에 대해 높

은 민감도를 보인다.[12] 그러나 저속에서는 d축 전압의 측정 정확도가

떨어지고 d축 및 q축 전류에 리플이 심하면 d축과 q축의 상호 간섭으

로 인하여 회전자 시정수 추정에 향을 미칠 수 있다. 따라서 이 방식

은 운전 역이 고속이고 d축과 q축 전류 제어가 완벽하게 되어야 한

다는 조건이 있다.

Page 24: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 16 -

3.2 제안된 회전자 시정수 보상 방법

본 논문에서 제안한 회전자 시정수의 추정방법은 변형된 전류 제어 스

위칭 기법에 기반을 둔다. 사용하는 스위칭 기법은 한 상 전류의 점

을 검출하여 상. 하 스위치 모두를 오프 시켜 상전류의 값을 ‘0‘ 혹은

상수의 값으로 유지시키는 방법을 사용한다. 변형된 전류 제어 스위칭

기법으로 측정된 상전압은 회전자 시정수를 업데이트하는데 사용된다.

구체적인 방법으로는 고정자 A상의 상․하 IGBT를 오프 시켜 인버

터로부터 A상 권선을 분리시킨다. 이 때의 정지 좌표계 상의 유도 전

동기 전압 방정식은 A상 상전류 ias와 정지좌표계의 고정자 토크분 전

류 isqs가 ‘0’이 되므로 식(9)∼(12)의 전압 방정식은 다음과 같이 표현된

다.

vsds = r s i

sds +

ddt( λ

sds) (30)

v sqs = ddt( λ sqs) (31)

0 = v sdr = r r isdr + ω r λ

sqr +

ddt( λ sdr) (32)

0 = vsqr = r r i

sqr - ω r λ

sdr +

ddt( λ

sqr) (33)

한편, 고정자와 회전자의 쇄교자속은

λ sds = L ls isds + L m ( i

sds + i

sdr ) (34)

λsqs = L m i

sqr

(35)

Page 25: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 17 -

위의 식 (30)∼(35)를 이용하여, 인버터로부터 단선된 A상의 전압은

다음과 같이 표현 될 수 있다.

v as = vsqs =

L m

L m+ L lr( ω r λ

sdr- r r i

sqr) (36)

위(36)식으로부터 회전자 축의 각속도 ω r는 다음과 같이 표현 가능하

다.

ω r =

v sqs+r rL rλ sqs

λ sds-L s L r- L

2m

L r⋅ i sds

(37)

여기서, Ls=Lls + Lm,

Lr= Llr + Lm,

L r

r r =τ r :회전자 시정수

라고 한다면, 시정수 τ r은 다음과 같이 표현 가능하다.

τ r =λ sqs

ω r⋅( L s L r- L2m)-v

sqs

(38)

위의 식으로부터 회전자의 시정수를 구해 A상의 매 주기마다 2번 씩

회전자 시정수를 업-데이트시킬 수 있다.

Page 26: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 18 -

위 수식의 변수인 회전자 각속도는 엔코더의 속도 정보로부터 쉽게 구

할 수 있다. 또한 정지 좌표계 상의 d, q축 고정자 전류도 홀 CT의 전

류 신호로부터 쉽게 연산 가능한 값이다.

한편 고정자의 자속 추정은 아래와 같이 고정자 상전압과 전압강하의

적분연산 얻어내는 것이 일반적이다.

λ sds = ⌠⌡( v

sds- r s i

sds) dt (39)

λ sqs = ⌠⌡( v

sqs- r s i

sqs) dt (40)

위의 식(38)로부터 회전자의 시정수를 구해 A상의 매 주기마다 2번 씩

회전자 시정수를 업-데이트 할 수 있다.

Page 27: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 19 -

3.3 Space Vector PWM

전동기의 입력 전류를 제어하기 위하여 전압원 인버터를 사용할 경우

에 전동기의 각상에 가해지는 전압을 공간상에 인버터 암(Arm)의 스위

치 함수로서 표현하는 방법을 공간 전압벡터 진폭변조(Space vector

PWM)이라 한다 .

이러한 SVPWM 방법에는 여러 가지가 있으나 최근에는 실제 적용에

있어서 계산을 효율적으로 하는 방법[10]이 주로 적용되고 있다.

그림 4. 전동기와 연결된 인버터 회로

Vdc

0

Machine

Vas

VbsVcsVao

VcoVbo

Page 28: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 20 -

그림 5. mode별 전압 벡터도

a

b

c

q

mode1

mode6

mode5

mode4

mode3

mode2

(2/3)Vdc

표 1. 전압 벡터별 스위치 함수

전압벡터 Sa Sb Sc 비 고

V0 0 0 0 무효벡터

V1 1 0 0

V2 1 1 0

V3 0 1 0

V4 0 1 1

V5 0 0 1

V6 1 0 1

V7 1 1 1 무효벡터

Page 29: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 21 -

그림 6. mode 1에서의 전압벡터

V1

V2

V*

(T2/TS)V2

(T1/TS)V1 (2/3)Vdc

전압벡터의 크기는 PWM 주기중 유효 전압시간의 개념으로 크기를

나타내어 관계식을 구하면

⌠⌡

Ts

0V* dt = ⌠⌡

T 1

0V1 dt +

⌠⌡

T 1+T 2

T1V2 dt +

⌠⌡

Ts

T 1+T 2V* dt (41)

(Zero vector를 V7로 가해줄 경우)

Zero vector가 0 인 경우를 가정하면

V 1⋅T 1 +V 2⋅T 2=V*⋅Ts (42)

로 나타낼 수 있다.

이것을 Vector 성분으로 표시하면 식 (43)과 같다.

Page 30: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 22 -

T 1⋅23⋅Vdc[ ]10 +T 2⋅

23⋅Vdc

︳︳︳︳︳︳︳

︳︳︳︳︳︳︳

cosπ3

sinπ3

=Ts⋅23⋅Vdc⋅k[ ]cosθ

sinθ

(43)

여기서 k 는 단위벡터이다.

식(43)을 성분별로 정리하면 식(44) 및 식(45)와 같다.

T 1⋅23⋅Vdc+T 2⋅

23⋅Vdc⋅cos

π3=Ts⋅

23⋅Vdc⋅k⋅cosθ (44)

T 2⋅23⋅Vdc⋅sin

π3=Ts⋅

23⋅Vdc⋅k⋅sinθ (45)

식 (45)에서

T 2 = Ts⋅|V*|⋅

3sinθ2

⋅Vdc⋅sinπ3

(46)

T 1=Ts⋅k⋅cosθ -T 2 cot

= t s⋅k⋅cosθ-t s⋅|V

*|⋅sinθ⋅cosπ3

23⋅Vdc⋅sin

π3

= Ts⋅k⋅sinπ3⋅cosθ- cos

π3⋅sinθ

sinπ3

Page 31: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 23 -

= Ts⋅|V

*|⋅sin (π3-θ)

23⋅Vdc⋅sin

π3

(47)

따라서 T1,T2는 다음과 같다.

T 1 = Ts⋅|V

*|⋅sin (π3-θ)

23⋅Vdc⋅sin

π3

(48)

T 2 = Ts⋅|V

*|⋅sinθ23⋅Vdc⋅sin

π3

(49)

식(48), (49)의 각 mode의 회전각을 α라하고 mode1에 있는

각(θ)으로 환산하면

mode1 α=θ(m=1)

mode2 α=θ-π/3(m=2)

mode3 α=θ-2π/3(m=3)

mode4 α=θ-π(m=4)

mode5 α=θ-4π/3(m=5)

mode6 α=θ-5π/3(m=6)

이고, 식 (48), (49)의 θ에 θ-(m-1)π/3를 대입하여 mode index(m)로

표시하면 식(50) 및 (51)과 같다.

Page 32: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 24 -

T 1 = Ts⋅|V

*|⋅sin (π3-θ-

π(m-1)3

)

23⋅Vdc⋅sin

π3

= Ts⋅|V

*|⋅sin (mπ3-θ)

23⋅Vdc⋅sin

π3

(50)

T 2 = Ts⋅|V

*|⋅sin (θ-(m-1)π3)

23⋅Vdc⋅sin

π3

(51)

이것을 전개하면,

T 1 = Ts⋅|V

*|⋅( sin (mπ3)⋅cosθ- cos (m

π3)⋅sinθ )

23⋅Vdc⋅sin

π3

(52)

T 2 = Ts⋅|V

*|⋅( sinθ⋅cos ( (m-1)π3)- cosθ⋅sin ( (m-1)

π3) )

23⋅Vdc⋅sin

π3

(53)

여기서 V*d와 V*q로 표시하기 위하여 V

*=V*d+jV*q이므로

cosθ =V *

d

|V *| , sinθ =

V *q

|V *| 를 대입하고 정리하면

T 1 = 3⋅TsVdc

⋅[ sin (mπ3)⋅V

*d- cos (m

π3)⋅V

*q ] (54)

Page 33: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 25 -

T 2 = 3⋅TsVdc

⋅[ cos ( (m-1)π3)⋅V

*q- sin ( (m-1)

π3)⋅V

*d ]

(55)

여기서, T 0 = Ts- (T 1+T 2),

식(54), (55)에서 m=1 일 때 그림 5의 벡터도에서

︳︳︳︳︳︳

︳︳︳︳︳︳

V *as

V *bs

V *cs

=

︳︳︳︳︳︳︳︳︳︳︳︳

︳︳︳︳︳︳︳︳︳︳︳︳

1 0

-12

32

-12-32

⋅[ ]V*d

V*q

(56)

로 상전압을 d, q축의 값으로 표시하고 스위칭 시간에 대하여

나타내면

T 1 = 3⋅TsVdc

⋅( 32⋅V *

d - 12⋅V *

q )

= TsVdc

⋅( V*d +

12⋅V

*d -

32⋅V

*q )

=Ts⋅V *

d

Vdc +

TsVdc

⋅(12⋅V *

d - 32⋅V *

q)

= Ts⋅V *

as

Vdc - Ts⋅

V *as

Vdc ≡ Tas - Tbs (57)

T 2 = 3⋅TsVdc

⋅( 0⋅V*d - 1⋅V

*q )

Page 34: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 26 -

= TsVdc

⋅[ (-12⋅V

*d +

32⋅V

*q)

-(-12⋅V *

d -32⋅V *

q )]

= Ts⋅V *

bs

Vdc - Ts⋅

V *cs

Vdc ≡ Tbs - Tcs (58)

Tas ≡ Ts⋅V *

as

Vdc, Tbs ≡ Ts⋅

V *bs

Vdc, Tcs ≡ Ts⋅

V *cs

Vdc (59)

단, [V*as+V*bs+V

*cs=0 이고 ∴ Tas+Tbs+Tcs=0]

따라서 식(59)에 나타낸 것과 같이 공간벡터 변조법에서 유효스위치 시

간은 PWM의 주기와 DC 링크부의 전압이 일정할 때 상전압 명령에

비례함을 알 수 있다.

이로써 종래의 Space Vector PWM 방법에서 Mode를 찾고 유효전압을

가해주는 방법보다 짧은 PWM주기 동안 간단한 곱셈과 나눗셈의 연산

으로 공간벡터의 연산을 효율적으로 행할 수 있는 방법이므로 저 성능

의 프로세서로도 충분한 계산을 행할 수 있으며 C-언어 등 프로그램

시에도 계산시간에 대한 부담을 덜 수 있다.

Page 35: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 27 -

3.4 변형된 전류 제어 스위칭 기법

본 논문에 사용된 PWM 기법은 SVPWM 이외에도 A상의 점

(Zero-Crossing) 구간 동안 A상의 상전류 값을 ‘0’으로 하고 B, C상의

전류를 일정한 상수 값으로 유지하기 위하여 변형된 전류 제어 스위칭

기법을 사용한다.

A상의 상전류가 감소하는 방향의 점 구간에서는 아래 그림 7과 같

이 B상의 상단 스위치와 C상의 하단 스위치의 도통(on)상태를 검출하

여 A상의 상단, 하단 스위치를 모두 오프 시키고 B상의 상단 스위치와

C상의 하단 스위치의 도통상태를 유지시킨다. 위와 같은 스위칭 기법으

로 A상의 상전류는 ‘0’의 값을, B상의 전류값은 일정한 크기의 (+)값으

로 C상의 상전류는 B상의 상전류와 같은 크기의 (-)값으로 고정시킬

수 있다.

그림 7. A상의 상전류가 감소하는 점 구간의 스위치 형태

Vdc

0

Vemf

VbsVcsVao

VcoVbo

OFF

OFF OFF

OFFON

ON

icsibs

Page 36: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 28 -

또한, A상의 상전류가 증가하는 방향의 점구간에서는 아래 그림 8

과 같이 C상의 상단 스위치와 B상의 하단 스위치의 도통상태를 검출하

여 A상의 상단, 하단 스위치를 모두 오프 시키고 B상의 상단 스위치와

C상의 하단 스위치의 도통상태를 유지시킨다. 위와 같은 스위칭 기법으

로 A상의 상전류는 ‘0’의 값을, B상의 전류값은 일정한 크기의 (-)값으

로 C상의 상전류는 B상의 상전류와 같은 크기의 (+)값으로 고정시킬

수 있다.

그림 8. A상의 상전류가 상승하는 점 구간의 스위치 형태

Vdc

0

Vemf

VbsVcsVao

VcoVbo

OFF

OFF

OFF

OFFON

ON

icsibs

위와 같은 기법으로 A상의 유기기전력을 측정할 수 있으며 측정된

A상의 유기 기전력은 식 (38)을 통하여 회전자의 시정수를 구하는데

이용된다. 또한 주기 이내에 2번 씩 회전자 시정수의 온 라인 업-데

이트가 가능함으로 100 RPM 정도의 저속 역에서도 양호한 제어특성

을 제공한다.

Page 37: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 29 -

제 4 장 시뮬레이션

Simulink를 이용하여 유도 전동기의 벡터제어 시스템을 구성하고

전동기 및 구동 시스템의 기계적 파라미터는 표2에 나타낸 실제시스템

의 모델을 적용하 다.

표 2. 유도전동기 및 구동 시스템 제원

전압 320V

정격출력 22kw

정격 주파수(fb) 60Hz

극수(P) 4극

정격 회전속도(ωb) 1769rpm

고정자 저항(Rs) 0.058Ω

회전자 저항(Rr)의 초기치 0.039Ω

고정자 누설인덕턴스(Lls) 0.59mH

상호 인덕턴스 (Lm) 24.76mH

회전자 누설 인덕턴스(Llr) 0.59mH

회전부 관성 모멘트(J) 0.25kgm2

마찰 계수(B) 0.053Nm /(rad/sec)

본 논문의 시뮬레이션에서는 일정자속 제어라는 가정하면 회전자 인

덕턴스 Lr은 일정한 반면 회전자 저항값 Rr의 변화는 운전시간이나

회전자 회전 속도의 변화에 기인한 주위온도의 변화에 관계된 변화이

다. 따라서 본 시뮬레이션에서는 회전자 저항 값만을 변화시키는 방법

을 사용하 다. 또한 시뮬레이션의 계산량과 시간을 단축시키기 위해

SVPWM의 구현은 생략하 다.

Page 38: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 30 -

Rr = 0.039 + 10-4 × t + 10

-4 × ωr

( t : 운전시간, sec , ωr : 회전자 축의 회전속도, RPM )

로 가정하 을 때의 전동기의 속도응답은 다음과 같다.

그림 9. 50 RPM에서의 속도 응답

Page 39: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 31 -

그림 10. 100 RPM에서의 속도 응답

그림 11. 150 RPM에서의 속도응답

Page 40: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 32 -

그림 12. 정속구간 (900 RPM)에서의 각 상의 상전류 파형

Page 41: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 33 -

제 5 장 시스템의 구성 및 실험

5.1 하드웨어의 구성

5.1.1 주제어 회로

주제어회로에 사용된 프로세서는 TI사의 DSP인 TMS320C31이며

기본특징은 다음과 같다.

□ 성능(40MHz)

- 50 nsec 단일 사이틀 명령어 실행 시간

- 40 MFLOPS(Million FLoating-point Operations Per Second)

- 20 MIPS(Million Instructions Per Second)

□ 4K × 32비트 단일 사이클 내부 ROM 역

□ 2개의 1K × 32비트 단일 사이클 내부 RAM 역

□ 64 × 32 비트 명령어 캐쉬

□ 32비트 명령어/데이터 워드, 24비트 주소

□ 40/32 비트 소수점/정수 곱셈기, ALU

□ 32 비트 쉬프터

Page 42: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 34 -

□ 8개의 확장 정 레지스터(누산기)

□ 8개의 보조 레지스터와 2개의 주소발생기, 그리고 2개의

보조레지스터 연산장치

□ I/O와 CPU동작을 동시에 수행하기 위한 내부 DMA 제어기

□ 정수, 소수점, 논리 연산

□ 2개 또는 3개의 오퍼랜드를 갖는 명령어

□ ALU 연산과 곱셈을 단일 사이클에 병렬로 처리하는 명령어

□ 단일 사이클 분기가 가능한 zero-overhead 루프

□ 다중 프로세싱을 제공하기 위한 동기된(interlocked) 명령어

□ 32비트 데이터 버스

□ 8/16/24/32 비트 전송을 제공하는 1개의 직렬 포트

□ 2개의 32비트 타이머

□ 2개의 범용 외부표시기, 4개의 외부 인터럽트

□ 다양한 부트 프로그램 로더

Page 43: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 35 -

그림 13. 주제어 회로 블럭도

Diff. Amp LPF 정 류 비교기

Encoder Pulse

Diff. Amp LPF MUX A/D

Control Logic

Digital I/O

DSPMemory

Gate Pulse

Fault Signal

SIO

D/A

6

6

4RS232/42232

34

2

3

2 1Protection

Analog

2

그림 14. 주제어 회로의 실제 형태

Page 44: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 36 -

그림 15. Mux 및 A/D converter

BD1BD0

BD5

BD9

BD7

BD10BD0

BD[2..0]

BD1

BD[2..0]

BD[12..0]

BD12

BD4

BD6

BD11

BD3BD2

BD8

BD2

[DSP]

[DSP]

[DSP]

[DSP]

[DSP]

/MUX

/AD-SC

S/H-CI

+15V

-15V

/AD-OE

BD[12..0]

+15V

+15V

+15V

-15V

5Va

+15V

-15V

+15V

-15V 5Va

-15V5Va

-15V

R312103(R)

C322104

C324104

C306104U302

SHC298JU

AI3

OA2

MCI8

MCR7HC 6

OUT 5+VCC 1

-VCC 4

C32633u/25V(TANTAL)

+

U305AD711JR

+

-

3

26

7 14 5

C311104

D303DAP202K

31 2

C325104

U306

AD7892BR-1

D0 20

D1 19

D2 18

D3 17

D4 16

D5 15

D6 13

D7 12

D8 11

D9 10

D10 9

D11 8

EOC 23

RD 21

CS 22

CONVST 24

MODE7

VDD 1

DGND 14

VIN14

VREF5

AGND6

VIN23

STANDBY2

C321104

D302DAP202K

31 2

C320104

C308502

D30402CZ5.1

C313502

C323104

U304

ADG528AKP

VDD 16

GND17 VSS 5

A0 3

A1 19

WR 2RS 20EN 4

S16

S27

S38

S49

S515

S614

S713

S812

OUT 10

A2 18

C312104

R313203(R)

C307104

U303

SHC298JU

AI3

OA2

MCI8

MCR7HC 6

OUT 5+VCC 1

-VCC 4

R314472(R)

그림 16. 전류신호 입력부 아날로그 회로

Iv

+15Va

Iu

GND

Shield

-15Va

GND-15V

+15V

-15V

+15V

-15V

+15V

+15V

-15V

C312104

C305102

C304

202

R305

203(R)

R303

103(R)

C307104

C303204

C306104R301

103(R)

U300B

OP471(16)+

-

5

67

413

C313502

C310102

C30033u/25V(TANTAL)

+

R300

103(R)

C308502

C30133u/25V(TANTAL)

+

U303

SHC298JU

AI3

OA2

MCI8

MCR7HC 6

OUT 5+VCC 1

-VCC 4

R302

203(R)

R304

103(R)

C309

202 C311104

CONN300

53258-0610

1

2

3

4

5

6

U302

SHC298JU

AI3

OA2

MCI8

MCR7HC 6

OUT 5+VCC 1

-VCC 4

C302204

U300A

OP471(16)+

-

3

21

413

Page 45: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 37 -

5.1.2 게이트 구동회로

게이트구동회로에는 각 IGBT에 공급되는 절연된 전원과 게이트의

신호를 공급하는 하이브리드 IC 및 DC 링크부의 전압을 제어하는 회생

제어부로 구성하 다.

그림 17. 게이트 구동회로 블럭도

Gate Driver

Hybrid IC

Regeneration

Control

SMPS

I/O port

그림 18. 게이트 구동 회로

Page 46: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 38 -

5.1.3 전력 회로 및 전동기

실험에 사용된 드라이브는 운전속도가 120m/min급인 주차설비용으로

개발된 유도전동기 벡터제어형 드라이브이며 적용전동기는 시뮬레이션

모델로 사용하 던 22kW 3상 4극 유도전동기를 사용하 다.

그림 19. 실험에 사용한 유도전동기 및 드라이브

Page 47: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 39 -

5.2 소프트웨어 구성

Main Loop 에서는 변수 및 주변회로의 초기화를 하며 전류입력 센

서등에 존재하는 초기의 오프셋 값을 읽은 다음 노이즈의 향을 줄이

기 위하여 평균값을 취하여 점 조정을 하며 제어 중 발생할 수 있는

고장상태를 표시하는 표시장치의 출력신호를 제어한다.

주변회로 초기화

변수초기화

시작

인터럽트 및

센서류 Offset 초기화

표시장치 입출력

그림 20. Main Loop 신호처리 흐름도

Page 48: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 40 -

Speed Control Loop는 5msec의 시간을 구간으로 실행되며 엔코더

의 출력 펄스를 적산한 CPLD의 카운터 값을 읽은 후 회전속도를 계산

하고 회전속도와 전동기의 정수로부터 회전자의 자속을 산출한다. 토오

크 전류명령과 슬립상수로서 슬립 각속도를 구한 후 회전자의 각속도에

더하여 동기 각속도를 연산한다. 제안된 추정방법의 토오크 출력 명령

은 Speed Control Loop의 최종부에서 가속도를 연산한 다음 해당 토오

크를 출력한다.

시작

회전속도 계산

속도명령

자속 산출

속도제어

토오크 계산

슬립 각속도 연산

토오크 측정 제어부

그림 21. Speed Control loop 신호처리 흐름도

Page 49: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 41 -

Current Control Loop는 100μsec마다 외부 인터럽트로서 실행되며

전류센서의 전류신호 입력하여 3상의 고정좌표계에서 2상 회전좌표계로

변환한 다음 토오크와 자속성분의 전류를 각각 제어한다. 전류제어기의

출력인 전압명령을 상변환한 후 공간벡터연산에 의한 유효전압시간을

EPLD로 출력하여 PWM 신호를 게이트 구동회로로 출력하도록 구성하

다. 또한 500μsec마다 실행되는 상전압 측정을 위한 zero-crossing

측정제어부를 포함하고 있다.

시작

전류신호입력

좌표변환

d축전류제어부

q축 전류제어부

전압공간벡터 연산

PWM Time 출력

상전류 Zero-crossing 검출 제어부

그림 22. Current Control Loop의 신호처리 흐름도

Page 50: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 42 -

본 논문에서 구현한 추정방법을 적용하기 위하여 100μsec마다 수행

되는 전류 제어부에서 500μsec마다 상전류의 점을 검출 제어하도록

구성하 다. 먼저 전류 신호를 입력받아 A상 상전류의 방향을 판별하

여 B, C상의 스위치 상태를 결정하고, A상의 전류의 크기가 ‘0’ 부근의

일정한 범위 이내에 들어오면 그 때의 B,C상의 스위치 상태가 적당한

값인가를 판별하고, 만약 적당하다면 A상을 오프 시켜 A상의 역기전력

을 측정한다. 일정시간이 지나면 루프에서 벗어나 다시 전류제어 루프

를 수행하게 된다. 그리고, 측정된 역기전력의 평균값을 이용하여 식

(38)로부터 회전자의 시정수 Rr 값을 결정하도록 프로그램을 구성하

다.

그림 23. 회전자 시정수 추정을 위한 역기전력의 측정방법

Page 51: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 43 -

5.3 실험 결과

그림 24. 정속구간 900 RPM 에서의 A상 상전류 파형

및 측정된 역기전력

그림 25. 정속구간 900 RPM 에서의 B상 상전류 파형

Page 52: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 44 -

그림 26. gain tuning 후 속도 응답 특성 (900 RPM)

실험을 통하여 그림 24와 그림 25에서처럼 상전류의 점 부근에서 상

전류는 ‘0’ 또는 상수의 값을 가지게 되어 A상의 역기전력을 측정할 수

있었다. 또 제안된 방법을 이용하여 실제 속도응답을 측정한 결과 매우

양호한 응답특성을 보여줌을 실험을 통해 확인할 수 있었다.

Page 53: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 45 -

제 6 장 결 론

본 논문에서는 간접 벡터 제어 시 시스템 성능 저하의 원인이 되는

회전자 시정수 변동을 보상하는 비교적 간단하고 강인하며 광범위한 속

도 역에서 신뢰성을 가지는 제어 방법을 제시하 다. 변형된 전류제어

기법으로 측정된 고정자의 역기전력을 이용하여 회전자 시정수를 업-

데이트하는 기법을 사용하 으며 제시된 방법은 하드웨어의 추가나 복

잡한 소프트웨어 기법을 필요로 하지 않는다. 시뮬레이션을 통하여 회

전자 시정수가 추정됨을 보이고 이론의 타당성을 검증하 으며, 실험을

통하여 실제 전동기의 특성이 향상되는 것을 입증하 다.

그러나, 극저속 역에서는 자속의 위치 검출에 있어 순수 적분항을

포함하고 있어 오프셋이나 노이즈에 민감함을 보 고, 이 부분은 향후

지속적인 연구를 필요로 한다.

구현된 회전자 시정수의 보상기법은 운전 조건이 혹독한 시스템, 특히

제철소나 발전소 등과 같이 온도의 변화 폭이 큰 장소에 사용되는 유도

전동기 가감속 시스템에 적합하다고 생각한다.

Page 54: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 46 -

참 고 문 헌

[1] Peter Vas, " Vector Control of AC machines", Clarendon press,

Oxford, 1990.

[2] Peter Vas, " Parameter estimation, condition monitoring,

and diagnosis of electrical machines, Oxford, 1993.

[3] B. K Bose "Power Elctronics and Variable Frequency drives",

IEEE Press 1997.

[4] Chee-Mun Ong "Dynamic Simulation of Electric Machinary",

Prentice Hall, 1998.

[5] Bahram Shahian, Michael Hassul,

"Control System Design Using Matlab ", Prentice-Hall, Inc. 1993.

[6] Hamid A. toliyat, Mohammed S. Arefeen, Khwaja M. Rahman,

" Rotor time constant updating scheme for a rotor flux-oriented

induction motor drive" IEEE Transaction on power electronics,

VOL 14, NO. 5, 1999. pp 850-857.

[7] J. C. Moreira, T. A. Lipo, " New method for rotor time constant

tuning in indirect field oriented control", IEEE Transaction

on Industry Application, VOL 8, PP 626-631.

[8] Russel. J. Kerkman, Gray Skibinski, David W. Schlegel,

"AC Drives : Year 2000 (Y2K) and Beyond",

Rockwell Automation, IEEE-APEC 1999.

[9] Victor R. stefanovic, Slovodan N. Vucosavic, "Space-Vector

PWM Voltage Control with Optimized Switching Strategy",

IEEE, 1992.

Page 55: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 47 -

[10] Joohn-Sheok kim, Seung-Ki sul, "A Novel Voltage Modulation

Technique of the Space Vector PWM" IEE Japan, Vol. 116-D,

no.8. 1996.

[11] L. C. Zai, T. A. Lipo. ' An Extended Kalman filter approch to

rotor time constant measurement in PWM imdution motor drives"

Conf Record. IEEE IAS Annually meeting, 1987, pp 177-183.

[12] T. C. Moreira, K. T Hung, T. A. Lipo, R. D. Lorenz,

" A simple and robust adaptive controller for detuning correction

in field oriented induction machine",

Conf Record. IEEE IAS Annually meeting, 1991, pp 397-403.

Page 56: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

- 48 -

Abstract

The Vector Control of Induction Motor

Considering Rotor Time Constants Variation

Yoon-Hyeok Baek

Dept. of Electrical Engineering

Graduate School, Changwon National University

Supervised by Prof. Ho-Gyun Ahn, Ph.D

This paper presents a simple on-line rotor time constant

identification scheme of indirect field oriented control for induction

motor. The variation effect of rotor time constant are caused by the

slip calculator where it is known that the rotor time constant play

an important role in flux position estimation.

The implemented scheme is robust and reliable over a wide-speed

range. And this method neither requires any special test signal nor

any complex computations. This scheme is based on a special

switching technique that modified current regulated switching

method which allows measuring the induced voltage across the

stator phase. The rotor time constant is up-dated using this

measured voltage.

By using this technique, the variation of rotor time constant is

identified and the rotor time constant of controller is tuned to proper

value of the machine. Computer simulations and experiment results

are presented to validate the effectiveness of the implemented

technique.

Page 57: %yW & ûD ø 28 ð à , (y 2 ÝD ílib4.changwon.ac.kr/cwu_img/cwu61572.pdf · 2002-09-16 · 5 ý ¯ b d Ø Ë î » Þ c Ñ # : × Ñ ñ 5 ý Ê y Þ Ó i 1 À i 0 Þ y ñ È (

감사의

지나온 대학원 생활을 생각해보면 아쉬움도 많이 남고 즐거웠던 일들

도 많았던 것 같습니다. 여러 면으로 부족함이 많은 저를 학문적으로

지도해주시고 언제나 사랑과 관심으로 이끌어 주신 안호균 교수님께 진

심으로 감사드립니다. 늘 온화한 미소로 저를 지도해주시고 올바른 길

로 인도해 주신 박승규 교수님께도 감사를 드립니다. 그리고 항상 전기

공학과의 발전을 위해 애쓰시는 박태곤 교수님, 유인근 교수님, 윤태성

교수님, 김규탁 교수님, 홍정표 교수님, 곽군평 교수님께도 진심으로 감

사의 마음을 전합니다.

대학원 생활과 NEEDSTEK에서 저에게 학문적인 가르침과 엔지니어

로서의 자세를 일깨워주신 안종건 이사님께도 감사의 마음을 전합니다.

그리고 연구실의 원한 맏형 김태엽 박사님과 김경훈 선배님께도 고마

운 마음을 전합니다. 그리고, 박사 학위 과정에 정말 최선을 다하는 민

찬이 형과 해박한 지식으로 연구실에 큰 기여를 한 종주 형에게 감사하

고 대학․대학원을 같이 졸업하는 우리 친구 치훈, 인조, 특히 같은 회

사 사무실에서 근무하게 된 질긴 인연의 형문, 석에게 감사의 마음을

전하며 변치 않는 우정을 간직하길 기원합니다. 또한 같이 졸업하며 박

사과정에서 학문적 성취를 이룰 후배 윤규, 학교에 취업한 정말 착한

미 이에게 많은 발전이 있길 기원합니다. 그리고, 석사과정의 용권, 항

상 바쁜 성환, 전기연구소의 대직, 새로이 대학원 생활을 시작하는 효

근, 두환, 철, 일권이에게 많은 학문적 발전이 있길 기원합니다.

먼저 대학원을 졸업하고 열심히 직장 생활하고 있는 재동이 형, 경식

이 형에게 감사드리고, 그리고 곧 결혼 할 길상이에게도 축하의 마음을

전합니다. 그리고, 절친한 친구 철순, 철호, 선권이와의 우정이 더욱 더

단단해지길 바라며 그 간의 고마움을 전합니다.

대학원 생활 중 힘들고 어려울 때, 조용히 그러나 큰 힘이 되어준 민

아, 저를 존재하게 하시고 제게 무한의 사랑을 가르쳐 주신 세상에서

가장 존경하는 아버지, 어머니께 작은 결실이지만 이 논문을 바칩니다.

2001년 12월

백윤혁 올림


Recommended